7_nm_process

7 nm process

7 nm process

MOSFET technology node


In semiconductor manufacturing, the "7 nm" process is a marketing term for the MOSFET technology node following the "10 nm" node, defined by the International Technology Roadmap for Semiconductors. It is based on FinFET (fin field-effect transistor) technology, a type of multi-gate MOSFET technology.

The phrase "7 nm" does not refer to any dimension on the integrated circuits, and has no relation to gate length, metal pitch, or gate pitch; since at least 1997, "node" has become a commercial name for marketing purposes[1] that indicates new generations of process technologies, without any relation to physical properties.[2][3][4] However, the smallest dimension within an individual transistor, the fin width, can sometimes be 7 nm.[5] TSMC and Samsung's "10 nm" (10 LPE) processes are somewhere between Intel's "14 nm" and "10 nm" processes in transistor density.

Taiwan Semiconductor Manufacturing Company (TSMC) began production of 256 Mbit SRAM memory chips using a "7 nm" process called N7 in June 2016,[6] before Samsung began mass production of their "7 nm" process called 7LPP devices in 2018.[7] The first mainstream "7 nm" mobile processor intended for mass market use, the Apple A12 Bionic, was released at Apple's September 2018 event.[8] Although Huawei announced its own "7 nm" processor before the Apple A12 Bionic, the Kirin 980 on August 31, 2018, the Apple A12 Bionic was released for public, mass market use to consumers before the Kirin 980. Both chips were manufactured by TSMC.[9]

In 2019,[10] AMD released their "Rome" (EPYC 2) processors for servers and datacenters, which are based on TSMC's N7 node[11] and feature up to 64 cores and 128 threads. They also released their "Matisse" consumer desktop processors with up to 16 cores and 32 threads. However, the I/O die on the Rome multi-chip module (MCM) is fabricated with the GlobalFoundries' 14 nm (14HP) process, while the Matisse's I/O die uses the GlobalFoundries' "12 nm" (12LP+) process. The Radeon RX 5000 series is also based on TSMC's N7 process.

History

Technology demos

7 nm scale MOSFETs were first demonstrated by researchers in the early 2000s. In 2002, an IBM research team including Bruce Doris, Omer Dokumaci, Meikei Ieong and Anda Mocuta fabricated a 6 nm silicon-on-insulator (SOI) MOSFET.[12][13] In 2003, NEC's research team led by Hitoshi Wakabayashi and Shigeharu Yamagami fabricated a 5 nm MOSFET.[14][15]

In July 2015, IBM announced that they had built the first functional transistors with "7 nm" technology, using a silicon-germanium process.[16][17][18][19]

In June 2016, TSMC had produced 256 Mbit SRAM memory cells at their "7 nm" process,[6] with a cell area of 0.027 square micrometers (550 F2)[spelling?] with reasonable risk production yields.[20]

Expected commercialization and technologies

In 2015, Intel expected that at the 7nm node, III-V semiconductors would have to be used in transistors, signaling a shift away from silicon.[21]

In April 2016, TSMC announced that "7 nm" trial production would begin in the first half of 2017.[22] In April 2017, TSMC began risk production of 256 Mbit SRAM memory chips using a "7 nm" (N7FF+) process,[6] with extreme ultraviolet lithography (EUV).[23] TSMC's "7 nm" production plans, as of early 2017,[needs update] were to use deep ultraviolet (DUV) immersion lithography initially on this process node (N7FF), and transition from risk to commercial volume manufacturing from Q2 2017 to Q2 2018. Also, their later generation "7 nm" (N7FF+) production was planned[needs update] to use EUV multiple patterning and to have an estimated transition from risk to volume manufacturing between 2018 and 2019.[24]

In September 2016, GlobalFoundries announced trial production in the second half of 2017 and risk production in early 2018, with test chips already running.[25]

In February 2017, Intel announced Fab 42 in Chandler, Arizona, which was according to press releases at that time expected[needs update] to produce microprocessors using a "7 nm" (Intel 4[26]) manufacturing process.[27] The company had not, at that time, published any expected values for feature lengths at this process node.[needs update]

In April 2018, TSMC announced volume production of "7 nm" (CLN7FF, N7) chips. In June 2018, the company announced mass production ramp up.[7]

In May 2018, Samsung announced production of "7 nm" (7LPP) chips this year. ASML Holding NV is their main supplier of EUV lithography machines.[28]

In August 2018, GlobalFoundries announced it was stopping development of "7 nm" chips, citing cost.[29]

On October 28, 2018, Samsung announced their second generation "7 nm" process (7LPP) had entered risk production and was at that time expected to have entered mass production by 2019.[needs update]

On January 17, 2019, for the Q4 2018 earnings call, TSMC mentioned that different customers would have "different flavors" of second generation "7 nm".[30][needs update]

On April 16, 2019, TSMC announced their "6 nm" process called (CLN6FF, N6), which was, according to a press release made on April 16, 2019, at that time expected to have been in mass products from 2021.[31][needs update] N6 was at that time expected to have used EUVL in up to 5 layers, compared to up to 4 layers in their N7+ process.[32]

On July 28, 2019, TSMC announced their second gen "7 nm" process called N7P, which was projected to have been DUV-based like their N7 process.[33] Since N7P was fully IP-compatible with the original "7 nm", while N7+ (which uses EUV) was not, N7+ (announced earlier as "7 nm+") was to have been a separate process from "7 nm". N6 ("6 nm"), another EUV-based process, was at that time planned to have been released later than even TSMC's "5 nm" (N5) process, with the IP-compatibility with N7. At their Q1 2019 earnings call, TSMC reiterated their Q4 2018 statement[30] that N7+ was at that time expected to have generated less than $1 billion TWD in revenue in 2019.[34][needs update]

On October 5, 2019, AMD announced their EPYC Roadmap, featuring Milan chips built using TSMC's N7+ process.[35][needs update]

On October 7, 2019, TSMC announced they had started delivering N7+ products to market in high volume.[36][needs update]

On July 26, 2021, Intel announced their new manufacturing roadmap, renaming all of their future process nodes.[26] Intel's "10 nm" Enhanced SuperFin (10ESF), which was roughly equivalent to TSMC's N7 process, would thenceforth be known as "Intel 7", while their earlier "7 nm" process would erstwhile be called "Intel 4".[26][37] As a result, Intel's first processors based on Intel 7 were at that time planned to have started shipping by the second half of 2022,[needs update] whereas Intel announced earlier that they were planning to have launched "7 nm" processors in 2023.[38][needs update]

Technology commercialization

In June 2018, AMD announced 7 nm Radeon Instinct GPUs launching in the second half of 2018.[39] In August 2018, the company confirmed the release of the GPUs.[40]

On August 21, 2018, Huawei announced their HiSilicon Kirin 980 SoC to be used in their Huawei Mate 20 and Mate 20 Pro built using TSMC's 7 nm (N7) process.[needs update]

On September 12, 2018, Apple announced their A12 Bionic chip used in iPhone XS and iPhone XR built using TSMC's 7 nm (N7) process. The A12 processor became the first 7 nm chip for mass market use as it released before the Huawei Mate 20.[41][42] On October 30, 2018, Apple announced their A12X Bionic chip used in iPad Pro built using TSMC's 7 nm (N7) process.[43]

On December 4, 2018, Qualcomm announced their Snapdragon 855 and 8cx built using TSMC's 7 nm (N7) process.[44] The first mass product featuring the Snapdragon 855 was the Lenovo Z5 Pro GT, which was announced on December 18, 2018.[45]

On May 29, 2019, MediaTek announced their 5G SoC built using a TSMC 7 nm process.[46]

On July 7, 2019, AMD officially launched their Ryzen 3000 series of central processing units, based on the TSMC 7 nm process and Zen 2 microarchitecture.

On August 6, 2019, Samsung announced their Exynos 9825 SoC, the first chip built using their 7LPP process. The Exynos 9825 is the first mass market chip built featuring EUVL.[47]

On September 6, 2019, Huawei announced their HiSilicon Kirin 990 4G & 990 5G SoCs, built using TSMC's N7 and N7+ processes.[48]

On September 10, 2019, Apple announced their A13 Bionic chip used in iPhone 11 and iPhone 11 Pro built using TSMC's 2nd gen N7P process.[49]

7 nm (N7 nodes) manufacturing made up 36% of TSMC's revenue in the second quarter of 2020.[50]

On August 17, 2020, IBM announced their Power10 processor.[49]

On July 26, 2021, Intel announced that their Alder Lake processors would be manufactured using their newly rebranded "Intel 7" process, previously known as "10 nm Enhanced SuperFin".[26] These processors were, at that time, expected based on press releases to have been planned to have been released in the second half of 2021.[needs update] The company earlier confirmed a 7 nm, now called "Intel 4",[26] microprocessor family called Meteor Lake to be released in 2023.[51][52][needs update]

Patterning difficulties

Pitch splitting issues. Successive litho-etch patterning is subject to overlay errors as well as the CD errors from different exposures.
Spacer patterning issues. Spacer patterning has excellent CD control for features directly patterned by the spacer, but the spaces between spacers may be split into core and gap populations.
Overlay error impact on line cut. An overlay error on a cut hole exposure could distort the line ends (top) or infringe on an adjacent line (bottom).
Two-bar EUV patterning issues. In EUV lithography, a pair of features may not have both features in focus at the same time; one will have different size from the other, and both will shift differently through focus as well.
7 nm EUV stochastic failure probability. "7 nm" features were expected to approach ~20 nm width. The probability of EUV stochastic failure is measurably high for the commonly applied dose of 30 mJ/cm2.

The "7 nm" foundry node is expected to utilize any of or a combination of the following patterning technologies: pitch splitting, self-aligned patterning, and EUV lithography. Each of these technologies carries significant challenges in critical dimension (CD) control as well as pattern placement, all involving neighboring features.

Pitch splitting

Pitch splitting involves splitting features that are too close together onto different masks, which are exposed successively, followed by litho-etch processing. Due to the use of different exposures, there is always the risk of overlay error between the two exposures, as well as different CDs resulting from the different exposures.

Spacer patterning

Spacer patterning involves depositing a layer onto pre-patterned features, then etching back to form spacers on the sidewalls of those features, referred to as core features. After removing the core features, the spacers are used as an etch mask to define trenches in the underlying layer. While the spacer CD control is generally excellent, the trench CD may fall into one of two populations, due to the two possibilities of being located where a core feature was located or in the remaining gap. This is known as 'pitch walking'.[53] Generally pitch = core CD + gap CD + 2 * spacer CD, but this does not guarantee core CD = gap CD. For FEOL features like gate or active area isolation (e.g., fins), the trench CD is not as critical as the spacer-defined CD, in which case, spacer patterning is actually the preferred patterning approach.

When self-aligned quadruple patterning (SAQP) is used, there is a second spacer that is utilized, replacing the first one. In this case, the core CD is replaced by core CD - 2* 2nd spacer CD, and the gap CD is replaced by gap CD - 2 * 2nd spacer CD. Thus, some feature dimensions are strictly defined by the second spacer CD, while the remaining feature dimensions are defined by the core CD, core pitch, and first and second spacer CD's. The core CD and core pitch are defined by conventional lithography, while the spacer CDs are independent of lithography. This is actually expected to have less variation than pitch splitting, where an additional exposure defines its own CD, both directly and through overlay.

Spacer-defined lines also require cutting. The cut spots may shift at exposure, resulting in distorted line ends or intrusions into adjacent lines.

Self-aligned litho-etch-litho-etch (SALELE) has been implemented for "7 nm" BEOL patterning.[54]

EUV lithography

Extreme ultraviolet lithography (also known as EUV or EUVL) is capable of resolving features below 20 nm in conventional lithography style. However, the 3D reflective nature of the EUV mask results in new anomalies in the imaging. One particular nuisance is the two-bar effect, where a pair of identical bar-shaped features do not focus identically. One feature is essentially in the 'shadow' of the other. Consequently, the two features generally have different CDs which change through focus, and these features also shift position through focus.[55][56][57] This effect may be similar to what may be encountered with pitch splitting. A related issue is the difference of best focus among features of different pitches.[58]

EUV also has issues with reliably printing all features in a large population; some contacts may be completely missing or lines bridged. These are known as stochastic printing failures.[59][60] The defect level is on the order of 1K/mm2.[61]

The tip-to-tip gap is hard to control for EUV, largely due to the illumination constraint.[62] A separate exposure(s) for cutting lines is preferred.

Attenuated phase shift masks have been used in production for 90 nm node for adequate focus windows for arbitrarily pitched contacts with the ArF laser wavelength (193 nm),[63][64] whereas this resolution enhancement is not available for EUV.[65][66]

At 2021 SPIE's EUV Lithography conference, it was reported by a TSMC customer that EUV contact yield was comparable to immersion multipatterning yield.[67]

Comparison with previous nodes

Due to these challenges, "7 nm" poses unprecedented patterning difficulty in the back end of line (BEOL). The previous high-volume, long-lived foundry node (Samsung "10 nm", TSMC "16 nm") used pitch splitting for the tighter pitch metal layers.[68][69][70]

Cycle time: immersion vs. EUV

More information Process, Immersion (≥ 275 WPH) ...

Due to the immersion tools being faster presently, multipatterning is still used on most layers. On the layers requiring immersion quad-patterning, the layer completion throughput by EUV is comparable. On the other layers, immersion would be more productive at completing the layer even with multipatterning.

Design rule management in volume production

The "7 nm" metal patterning currently practiced by TSMC involves self-aligned double patterning (SADP) lines with cuts inserted within a cell on a separate mask as needed to reduce cell height.[73] However, self-aligned quad patterning (SAQP) is used to form the fin, the most important factor to performance.[74] Design rule checks also allow via multi-patterning to be avoided, and provide enough clearances for cuts that only one cut mask is needed.[74]

Process nodes and process offerings

The naming of process nodes by 4 different manufacturers (TSMC, Samsung, SMIC, Intel) is partially marketing-driven and not directly related to any measurable distance on a chip  for example TSMC's "7 nm" node was previously similar in some key dimensions to Intel's planned first-iteration "10 nm" node, before Intel released further iterations, culminating in "10nm Enhanced SuperFin", which was later renamed to "Intel 7" for marketing reasons.[75][76]

Since EUV implementation at "7 nm" is still limited, multipatterning still plays an important part in cost and yield; EUV adds extra considerations. The resolution for most critical layers is still determined by multiple patterning. For example, for Samsung's "7 nm", even with EUV single-patterned 36 nm pitch layers, 44 nm pitch layers would still be quadruple patterned.[77]

More information Samsung, TSMC ...

GlobalFoundries' "7 nm" 7LP (Leading Performance) process would have offered 40% higher performance or 60%+ lower power with a 2x scaling in density and at a 30-45+% lower cost per die over its "14 nm" process. The Contacted Poly Pitch (CPP) would have been 56 nm and the Minimum Metal Pitch (MMP) would have been 40 nm, produced with Self-Aligned Double Patterning (SADP). A 6T SRAM cell would have been 0.269 square microns in size. GlobalFoundries planned to eventually use EUV lithography in an improved process called 7LP+.[99] GlobalFoundries later stopped all "7 nm" and beyond process development.[100]

Intel's new "Intel 7" process, previously known as "10 nm Enhanced SuperFin" (10ESF), is based on its previous "10 nm" node. The node will feature a 10-15% increase in performance per watt. Meanwhile, their old "7 nm" process, now called "Intel 4", was at that time expected to have been released in 2023.[101][needs update] Few details about the "Intel 4" node had at that time been made public, although its transistor density had at that time been estimated to be at least 202 million transistors per square millimeter.[26][102][needs update] As of 2020, Intel had been experiencing problems with its "Intel 4" process to the point of outsourcing production of its Ponte Vecchio GPUs.[103][104][needs update]


References

  1. Morris, Kevin (July 23, 2020). "No More Nanometers: It's Time for New Node Naming". Electronic Engineering Journal. Retrieved September 17, 2022.
  2. Shukla, Priyank. "A Brief History of Process Node Evolution". Design-Reuse. Retrieved July 9, 2019.
  3. Hruska, Joel (June 23, 2014). "14nm, 7nm, 5nm: How low can CMOS go? It depends if you ask the engineers or the economists…". ExtremeTech. Retrieved September 17, 2022.
  4. Pirzada, Usman (September 16, 2016). "Exclusive: Is Intel Really Starting To Lose Its Process Lead? 7nm Node Slated For Release in 2022". Wccftech. Retrieved September 17, 2022.
  5. Kanter, David (June 12, 2022). "Intel 4 Process Scales Logic with Design, Materials, and EUV". realworldtech.com. Retrieved September 24, 2023.
  6. "7nm Technology". TSMC. Retrieved June 30, 2019.
  7. Chen, Monica; Shen, Jessie (June 22, 2018). "TSMC ramping up 7nm chip production". DigiTimes. Retrieved September 17, 2022.
  8. Shankland, Stephen (September 12, 2018). "Apple's A12 Bionic CPU for the new iPhone XS is ahead of the industry moving to 7nm chip manufacturing tech". CNET. Retrieved September 16, 2018.
  9. Summers, N. (September 12, 2018). "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Retrieved September 20, 2018.
  10. Smith, Ryan (July 26, 2018). "AMD "Rome" EPYC CPUs to Be Fabbed By TSMC". AnandTech. Retrieved June 18, 2019.
  11. "IBM claims world's smallest silicon transistor - TheINQUIRER". Theinquirer.net. December 9, 2002. Archived from the original on May 31, 2011. Retrieved December 7, 2017.
  12. Doris, Bruce B.; Dokumaci, Omer H.; Ieong, Meikei K.; Mocuta, Anda; Zhang, Ying; Kanarsky, Thomas S.; Roy, R. A. (December 2002). "Extreme scaling with ultra-thin Si channel MOSFETs". Digest. International Electron Devices Meeting. pp. 267–270. doi:10.1109/IEDM.2002.1175829. ISBN 0-7803-7462-2. S2CID 10151651.
  13. "NEC test-produces world's smallest transistor". The Free Library. Retrieved December 7, 2017.
  14. Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (December 2003). "Sub-10-nm planar-bulk-CMOS devices using lateral junction control". IEEE International Electron Devices Meeting 2003. pp. 20.7.1–20.7.3. doi:10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. S2CID 2100267.
  15. Markoff, John (July 9, 2015). "IBM Discloses Working Version of a Much Higher-Capacity Chip". The New York Times.
  16. "Seven Advancements for Beyond 7nm Chips". IBM Research Blog. February 27, 2017.
  17. Chang, J.; Chen, Y.; Chan, W.; Singh, S. P.; Cheng, H.; Fujiwara, H.; Lin, J.; Lin, K.; Hung, J.; Lee, R.; Liao, H. (February 2017). "12.1 a 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications". 2017 IEEE International Solid-State Circuits Conference (ISSCC). pp. 206–207. doi:10.1109/ISSCC.2017.7870333. ISBN 978-1-5090-3758-2. S2CID 19930825.
  18. Parish, Kevin (April 20, 2016). "Watch out Intel and Samsung: TSMC is gearing up for 7 nm processing with trial production". Digital Trends. Retrieved September 17, 2022.
  19. "TSMC Tips 7+, 12, 22nm Nodes | EE Times". EETimes. Retrieved March 17, 2017.
  20. Shilov, Anton (May 5, 2017). "Samsung and TSMC Roadmaps: 8 and 6 nm Added, Looking at 22ULP and 12FFC". AnandTech. Retrieved September 17, 2022.
  21. "GLOBALFOUNDRIES to Deliver Industry's Leading-Performance Offering of 7 nm FinFET Technology". GlobalFoundries (Press release). September 15, 2016. Retrieved April 8, 2017.
  22. Cutress, Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Retrieved July 27, 2021.
  23. King, Ian (May 22, 2018). "Samsung Says New 7-Nanometer Chip Production Starting This Year". Bloomberg. Retrieved September 17, 2022.
  24. Dent, Steve (August 28, 2018). "Major AMD chip supplier will no longer make next-gen chips". Engadget. Retrieved September 17, 2022.
  25. Q4 2018 TSMC earnings call transcript, January 17, 2019.
  26. Schor, David (April 16, 2019). "TSMC Announces 6-Nanometer Process". WikiChip Fuse. Retrieved May 31, 2019.
  27. Shilov, Anton (May 1, 2019). "TSMC: Most 7nm Clients Will Transition to 6nm". AnandTech. Retrieved May 31, 2019.
  28. Schor, David (July 28, 2019). "TSMC Talks 7nm, 5nm, Yield, And Next-Gen 5G And HPC Packaging". WikiChip Fuse. Retrieved September 13, 2019.
  29. C. C. Wei, TSMC Q1 2019 earnings call (April 18) transcript.
  30. Alcorn, Paul (October 5, 2019). "AMD Dishes on Zen 3 and Zen 4 Architecture, Milan and Genoa Roadmap". Tom's Hardware. Retrieved October 8, 2019.
  31. "Accelerating Process Innovation" (PDF). Intel. July 26, 2021.
  32. Jones, Ryan (March 27, 2021). "Ctrl+Alt+Delete: Why you should be excited for Intel's 7nm processor". Trusted Reviews. Retrieved March 30, 2021.
  33. Martin, Dylan (August 23, 2018). "AMD CTO: 'We Went All In' On 7nm CPUs". CRN. Retrieved September 17, 2022.
  34. Freedman, Andrew E. (September 12, 2018). "Apple Introduces 7nm A12 Bionic CPU for iPhone XS". Tom's Hardware. Retrieved September 12, 2018.
  35. Axon, Samuel (November 7, 2018). "Apple walks Ars through the iPad Pro's A12X system on a chip". Ars Technica. Retrieved November 18, 2018.
  36. Cutress, Ian (December 4, 2018). "Qualcomm Tech Summit, Day 1: Announcing 5G Partnerships and Snapdragon 855". AnandTech. Retrieved May 31, 2019.
  37. Frumusanu, Andrei (December 18, 2018). "Lenovo First to a Snapdragon 855 Phone with Announcement of Z5 Pro GT". AnandTech. Retrieved May 31, 2019.
  38. "MediaTek 5G". MediaTek. Retrieved May 31, 2019.
  39. Siddiqui, Aamir (August 7, 2019). "Samsung announces Exynos 9825 prior to Galaxy Note 10 launch". XDA-Developers. Retrieved September 13, 2019.
  40. "IBM Reveals Next-Generation IBM POWER10 Processor". IBM Newsroom. August 17, 2020. Retrieved August 17, 2020.
  41. "Intel CEO Announces 'IDM 2.0' Strategy for Manufacturing, Innovation". Intel Newsroom. March 23, 2021. Retrieved September 17, 2022.
  42. "Intel Unleashed: Engineering the Future (Replay)". Intel Newsroom. March 23, 2021. Retrieved September 17, 2022.
  43. M. J. Maslow et al., Proc. SPIE 10587, 1058704 (2018).
  44. Y. Nakajima et al., EUVL Symposium 2007, Sapporo.
  45. L. de Winter et al., Proc. SPIE 9661, 96610A (2015).
  46. M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  47. P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  48. S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  49. E. van Setten et al., Proc. SPIE 9661. 96610G (2015).
  50. C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  51. T. Devoivre et al., MTDT 2002.
  52. S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  53. A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  54. Qi Li et al., Proc. SPIE 11609, 116090V (2021).
  55. Jeong, W. C.; Ahn, J. H.; Bang, Y. S.; Yoon, Y. S.; Choi, J. Y.; Kim, Y. C.; Paek, S. W.; Ahn, S. W.; Kim, B. S.; Song, T. J.; Jung, J. H.; Do, J. H.; Lim, S. M.; Cho, H.-; Lee, J. H.; Kim, D. W.; Kang, S. B.; Ku, J.-; Kwon, S. D.; Jung, S.-; Yoon, J. S. (June 23, 2017). "10nm 2nd generation BEOL technology with optimized illumination and LELELELE". 2017 Symposium on VLSI Technology. pp. T144–T145. doi:10.23919/VLSIT.2017.7998156. ISBN 978-4-86348-605-8. S2CID 43207918 via IEEE Xplore.
  56. Wu, S.; Lin, C. Y.; Chiang, M. C.; Liaw, J. J.; Cheng, J. Y.; Yang, S. H.; Liang, M.; Miyashita, T.; Tsai, C. H.; Hsu, B. C.; Chen, H. Y.; Yamamoto, T.; Chang, S. Y.; Chang, V. S.; Chang, C. H.; Chen, J. H.; Chen, H. F.; Ting, K. C.; Wu, Y. K.; Pan, K. H.; Tsui, R. F.; Yao, C. H.; Chang, P. R.; Lien, H. M.; Lee, T. L.; Lee, H. M.; Chang, W.; Chang, T.; Chen, R.; Yeh, M.; Chen, C. C.; Chiu, Y. H.; Chen, Y. H.; Huang, H. C.; Lu, Y. C.; Chang, C. W.; Tsai, M. H.; Liu, C. C.; Chen, K. S.; Kuo, C. C.; Lin, H. T.; Jang, S. M.; Ku, Y. (December 23, 2013). "A 16nm FinFET CMOS technology for mobile SoC and computing applications". 2013 IEEE International Electron Devices Meeting. pp. 9.1.1–9.1.4. doi:10.1109/IEDM.2013.6724591. ISBN 978-1-4799-2306-9 via IEEE Xplore.
  57. "Samsung Ramps 7nm EUV Chips". EETimes. October 17, 2018.
  58. Merrit, Rick (January 16, 2017). "15 Views from a Silicon Summit". EETimes. Retrieved September 16, 2022.
  59. Hill, Brandon (March 28, 2017). "Intel Details Cannonlake's Advanced 10nm FinFET Node, Claims Full Generation Lead Over Rivals". HotHardware. Archived from the original on June 12, 2018. Retrieved August 30, 2018.
  60. J. Kim et al., Proc. SPIE 10962, 1096204 (2019).
  61. "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip. August 4, 2018. Retrieved September 16, 2022.
  62. "Samsung Electronics Starts Production of EUV-based 7nm LPP Process". Samsung Newsroom. October 18, 2018. Retrieved September 16, 2022.
  63. IEDM 2016
  64. "TSMC Goes Photon to Cloud". EETimes. October 4, 2018.
  65. Bonshor, Gavin (October 20, 2022). "Intel Core i9-13900K and i5-13600K Review: Raptor Lake Brings More Bite". AnandTech. Retrieved September 28, 2023.
  66. Jones, Scotten (May 3, 2019). "TSMC and Samsung 5nm Comparison". Semiwiki. Retrieved July 30, 2019.
  67. Schor, David (June 19, 2022). "A Look At Intel 4 Process Technology". WikiChip Fuse.
  68. "百度安全验证". wappass.baidu.com. Retrieved September 6, 2023.
  69. "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip Fuse. August 4, 2018. Retrieved May 31, 2019.
  70. Smith, Ryan (June 13, 2022). "Intel 4 Process Node In Detail: 2x Density Scaling, 20% Improved Performance". AnandTech. Retrieved September 17, 2022.
  71. "TSMC Q1 2018 earnings call transcript, p.12" (PDF). Archived from the original (PDF) on October 14, 2018. Retrieved October 14, 2018.
  72. W. C. Jeong et al., VLSI Technology 2017.
  73. Dillinger, Tom (March 23, 2017). "Top 10 Updates from the TSMC Technology Symposium, Part II". SemiWiki. Retrieved September 16, 2022.
  74. Paul Alcorn (July 21, 2022). "China's SMIC Shipping 7nm Chips, Reportedly Copied TSMC's Tech". Tom's Hardware.
  75. Jones, Scotten (July 8, 2017). "Exclusive - GLOBALFOUNDRIES discloses 7nm process detail". SemiWiki. Retrieved September 16, 2022.
  76. Shilov, Anton; Cutress, Ian (August 27, 2018). "GlobalFoundries Stops All 7nm Development: Opts To Focus on Specialized Processes". AnandTech. Retrieved July 27, 2021.

Share this article:

This article uses material from the Wikipedia article 7_nm_process, and is written by contributors. Text is available under a CC BY-SA 4.0 International License; additional terms may apply. Images, videos and audio are available under their respective licenses.