ASML_Holding

ASML Holding

ASML Holding

Dutch manufacturer of semiconductor production equipment


ASML Holding N.V. (commonly shortened to ASML, originally standing for Advanced Semiconductor Materials Lithography) is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithography machines which are used to produce computer chips.

Quick Facts Company type, Traded as ...

As of 2023 it is the largest supplier for the semiconductor industry and the sole supplier in the world of extreme ultraviolet lithography (EUV) photolithography machines that are required to manufacture the most advanced chips.[2] As of March 2024, ASML was the most highly valued European tech company, with a market capitalization of about US$397 billion.[3][4]

ASML's corporate headquarters is in Veldhoven, Netherlands and the location for research, development, manufacturing and assembly. ASML employs more than 42,000 people[1] from 143 nationalities and relies on a network of nearly 5,000 tier 1 suppliers.[5] ASML has a worldwide customer base and over sixty service points in sixteen countries.[5] It has offices in the Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United Kingdom, China, Hong Kong, Japan, South Korea, Malaysia, Singapore, and Taiwan.[5]

The company is listed on both the AEX and NASDAQ Stock Exchanges, as ASML. It is also a component of the Euro Stoxx 50[6] and NASDAQ-100.[7]

Products

A diagonally cut ASML lens

ASML produces the photolithography machines used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon wafer that is covered with a film of light-sensitive material (photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits and, as of 2011, ASML had 67 percent of the worldwide sales of lithography machines.[8]

ASML's competition consisted of Ultratech, Canon and Nikon, MKS Instruments, Lam Research and Cadence Design Systems.[citation needed]

Immersion lithography

Since immersion lithography was first proposed by Burn-Jeng Lin in the 1970s,[9] ASML cooperated with Taiwan Semiconductor Manufacturing (TSMC). In 2004, TSMC began commercial production of 90 nanometer semiconductor nodes using ASML immersion lithography.[10] As of 2011, their high-end TWINSCAN NXT:1950i system was used for producing features down to 32 nanometres at up to 200 wafers per hour,[11] using a water immersion lens and an argon fluoride laser that produces light at a wavelength of 193 nm. As of 2011, an average lithography machine cost 27 million.[12]

DUV lithography

Deep ultraviolet (DUV) lithography devices from ASML use light that penetrates the UV spectrum to print the tiny features that form the microchip's structure.[13]

In 2009, the IMEC research center in Belgium produced the world's first functional 22 nm CMOS Static random-access memory memory cells with a prototype EUV lithography machine.[14] In 2011 series-produced (non-prototype) EUV machines were shipped.[12]

EUV lithography

After decades of development, ASML shipped the first production extreme ultraviolet lithography machine in 2013.[15] These machines produce light in the 13.5 nm wavelength range when a high-energy laser is focused on microscopic droplets of molten tin to produce a plasma, which then emits EUV light. The light is bounced off Zeiss mirrors onto the surface of a silicon wafer to deliver the designs for the chip.[16] ASML's best-selling EUV product has been the TWINSCAN NXE:3600D, which costs up to $200 million.[2] Shipping the machine the size of a truck requires moving 180 tons with three Boeing 747s.[17] As of 2022, ASML has shipped around 140 EUV systems, and it is the only company to manufacture them.[2]

ASML is working on the next generation of EUV systems, with the first shipments to customers for R&D purposes expected to take place at the end of 2023.[15] The platform is designated High-NA as it will increase the numerical aperture (NA) from 0.33 to 0.55,[15] and each system is expected to cost $300 million.[2]

Nanoimprint lithography

In addition to immersion-based lithography and EUV lithography, ASML has a substantial intellectual property portfolio covering imprint lithography.[18]

History

The company, originally named ASM Lithography, is named ASML as its official name and not an abbreviation.[19] It was founded in 1984 as a joint venture between the Dutch companies ASM and Philips. Nowadays it is a public company. When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name.[20]

ASML released the lithography system PAS 5500 in 1991, which became an extremely successful platform for the company.[21] The PAS 5500 was first utilized by Micron Technology, which was one of the world's largest producers of computer memory and storage, and ASML's largest customer at that time.[22] The success of the PAS 5500 line propelled ASML into strong competition with Canon and Nikon, who were the leaders in that era of the lithography market.[21]

In 1997, ASML began studying a shift to using extreme ultraviolet and in 1999 joined a consortium including Intel, two other U.S. chipmakers, in order to exploit fundamental research conducted by the US Department of Energy. Because of the CRADA it operates under is funded by the US taxpayer, licensing must be approved by Congress. It collaborated with the Belgian Imec and Sematech and turned to Carl Zeiss in Germany for its need of mirrors.[23]

In 2000, ASML acquired the Silicon Valley Group (SVG), a US lithography equipment manufacturer also licensed for EUV research results, in a bid to supply 193 nm scanners to Intel Corp.[24][25]

In 2002, it became the largest supplier of photolithography systems.[26]

At the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide, mostly contract workers[27] and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs.[28] Two and a half years later, ASML expected a record-high revenue.[29]

In July 2012, Intel announced a deal to invest $4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to 450 mm wafers and further development of EUV lithography.[30][31] This deal was without exclusive rights to future ASML products and, as of July 2012, ASML was offering another 10% of the shares to other companies.[32] As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012.[33]

In November 2013, ASML paused development of 450 mm lithography equipment, citing uncertain timing of chipmaker demand.[34]

In 2015, ASML suffered intellectual property theft. A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization.[35]

In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $3.1 billion to add technology for creating smaller and more advanced semiconductors.[36]

In 2018, the Trump administration tried to block the sale of ASML technology to China,[37] but as of 2021, the 2020–present global chip shortage as well as the "technological cold war" between the US and China has been a business opportunity for ASML.[17]

In November 2020, ASML revealed that it had acquired the German optical glassmaking firm Berliner Glas Group in order to meet increasing need for components for its EUV systems.[38]

In July 2021, Thierry Breton European Commissioner, visited ASML and announced a goal of at least 20% of world production of semiconductors in Europe by 2030, and support via a European Alliance on semiconductors.[39] After reporting earnings in July 2021, the company said they had a near monopoly for machines used by TSMC and Samsung Electronics to make the advanced chips.[40]

In February 2023, ASML claimed that a former worker in China "allegedly" stole information about the company's technology. This wasn't the first time that ASML was allegedly linked with an intellectual property breach connected to China, and this latest breach came in the midst of the US-China trade war, which is also called a "chip war".[41] At the time, the United States Department of Commerce expressed concern about economic espionage against ASML.[42] In October 2023, Dutch newspaper NRC Handelsblad reported that the former employee who "allegedly" stole data about ASML's technology subsequently went to work for Huawei.[43]

In March 2023, the Dutch government placed restrictions on chip exports in order to protect national security. This measure affected ASML as one of the most important companies in the global microchip supply chain.[44] Export license requirements came into effect in September 2023.[45]

In June 2023, the Netherlands' Institute for Human Rights ruled that despite the country's constitution prohibiting discrimination based on nationality, ASML was allowed to reject job applications from residents of countries subject to sanctions under the U.S. Export Administration Regulations (such as Cuba, Iran, North Korea, and Syria) in order to remain compliant with U.S. law.[46][47][48]

In January 2024, the Dutch government placed further restrictions on the shipment of some advanced chip-making equipment to China.[49]

Finances

More information Year ...

Shareholder

More information Holder, Shares ...
More information Holder, Shares ...

Awards

  • The IEEE Spectrum Emerging Technology 2018 Award was given to ASML for its Extreme Ultraviolet Lithography system. ASML is currently the top supplier of photolithography systems to the semiconductor industry.[53]
  • In the category for Popular Prize, Vadim Banine received the 2018 European Inventor Award for shaping the future of microchip manufacturing.[54]
  • The largest independent microelectronics research center in Europe is called IMEC (Interuniversity Micro Electronics Centre). Martin van den Brink of ASML was given the 2019 IMEC Lifetime of Innovation Award.[55]
  • The Netherlands Association for Investor Relations (NEVIR), where listed companies and professionals in investor relations had the chance to be recognized for their outstanding work in the sector, presented awards to ASML in the categories: "Best Company in the field of Investor Relations" during the Dutch IR Awards 2019 annual award ceremony.[56]
  • ASML received the SEMI Americas Award at the 2020 edition of the microelectronics conference SEMICON West for its collaborative approach to extreme ultraviolet lithography (EUV), which helped it become commercially viable and opened the door to new technological possibilities.[57]
  • The Intel Preferred Quality Supplier (PQS) Award for 2020 was awarded to ASML. ASML has attained a level of performance that continuously surpasses Intel's expectations for the commitment to continual quality improvement.[58]
  • The Dutch Innovation Prize 2021 was awarded to ASML at the 4th National BID AVROTROS Innovation Dinner held at Kasteel Wittenburg in Wassenaar.[59]
  • ASML received the first CoSta Award for the most successful and impactful innovative partnership between a corporate company and a startup.[60]

References

  1. "ASML 2023 Annual Report (Form 20-F)". US Securities and Exchange Commission. 14 February 2024. Archived from the original on 14 February 2024.
  2. Tarasov, Katie (23 March 2022). "ASML is the only company making the $200 million machines needed to print every advanced microchip. Here's an inside look". CNBC. Archived from the original on 14 November 2022. Retrieved 30 March 2023.
  3. "Largest tech companies by market cap". CompaniesMarketcap.com. 9 April 2023. Archived from the original on 9 November 2022. Retrieved 9 April 2023.
  4. O'Grady, Carmel; Kenyon, Matthew (21 February 2023). "How ASML became Europe's most valuable tech firm". BBC News.
  5. "2022 Combined Annual Report - ASML". ASML. 15 February 2023. Archived from the original on 9 April 2023. Retrieved 9 April 2023.
  6. "ASML HOLDING - Euronext exchange Live quotes". Euronext. Archived from the original on 9 April 2023. Retrieved 9 April 2023.
  7. "Quotes For Nasdaq-100 Index". Nasdaq. Archived from the original on 25 December 2021. Retrieved 9 April 2023.
  8. "Burn Lin: The 2023 SPIE Mozi Award". spie.org. Archived from the original on 6 May 2023. Retrieved 6 May 2023.
  9. "90 nm Technology". TSMC. 2019. Archived from the original on 26 June 2019. Retrieved 30 June 2019.
  10. Third quarter 2011 results Archived 5 April 2012 at the Wayback Machine. ASML press release.
  11. "ASML EUV lithography systems". www.asml.com. Retrieved 4 July 2023.
  12. "ASML EUV lithography systems". ASML. Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  13. Patel, Nilay (31 January 2023). "The global battle over chip manufacturing and why the US is trying to stop China from buying machines from the Netherlands". The Verge. Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  14. For example, U. S. Patents 7618250, 7692771 and U. S. Patent Applications 20070018360, 20100193994.
  15. "About ASML: Questions and Answers". ASML Holding. Archived from the original on 28 July 2010. Retrieved 3 August 2010.
  16. "ASML: About ASML". 20 February 2014. Archived from the original on 28 July 2010. Retrieved 27 December 2022.
  17. Cuofano, Gennaro (11 October 2022). "How Does ASML Make Money? The ASML Business Model In A Nutshell". FourWeekMBA. Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  18. "Three decades of PAS 5500". ASML. Archived from the original on 31 March 2023. Retrieved 30 March 2023.
  19. Clark, Don (4 July 2021). "The Tech Cold War's 'Most Complicated Machine' That's Out of China's Reach". The New York Times. ISSN 0362-4331. Archived from the original on 16 January 2023. Retrieved 9 November 2022.
  20. "ASML drops SVG-developed 193-nm scanner to focus on Twinscan platform | EE Times". Archived from the original on 7 September 2014. Retrieved 7 September 2014.
  21. "Intel kills plan to use SVG's 193-nm scanners in production due to delays | EE Times". Archived from the original on 7 September 2014. Retrieved 7 September 2014.
  22. "Litho-History Milestones" (PDF). www.lithoguru.com.
  23. ASML Sees Record Year Archived 25 May 2018 at the Wayback Machine. The Wall Street Journal, April 2011.
  24. ASML wins funds for chip technology from Intel Archived 1 November 2021 at the Wayback Machine. Reuters, 10 July 2012.
  25. "Intel funds next-gen chipmaking, buys into ASML for $4.1 billion". Reuters. 9 July 2012. Archived from the original on 31 July 2020. Retrieved 5 July 2021.
  26. Archived 8 March 2014 at the Wayback Machine ASML Press release, 17 October 2012
  27. "ASML 2013 Annual Report Form (20-F)" (XBRL). United States Securities and Exchange Commission. 11 February 2014. Archived from the original on 24 September 2015. Retrieved 27 August 2017. In November 2013, following our customers' decision, ASML decided to pause the development of 450 mm lithography systems until customer demand and the timing related to such demand is clear.
  28. Deutsch, Toby Sterling, Anthony (11 April 2019). "ASML says it suffered intellectual property theft, rejects 'Chinese' label". Reuters. Archived from the original on 10 May 2021. Retrieved 26 March 2021.{{cite news}}: CS1 maint: multiple names: authors list (link)
  29. Ian King, Bloomberg. "ASML to Acquire Taiwan's Hermes Microvision for $3.1 Billion Archived 4 September 2016 at the Wayback Machine." 15 June 2016. 16 June 2016.
  30. "Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources". Reuters. 6 January 2020. Archived from the original on 9 November 2022. Retrieved 9 November 2022.
  31. "ASML acquisition of Berliner Glas Group completed". www.asml.com. Archived from the original on 5 March 2021. Retrieved 26 March 2021.
  32. Thierry Breton (21 May 2021). "Inside the future: Europe's plan to thrive in the global microchip race". European Commission website.
  33. "ASML shares fall on report US wants to restrict sales to China". Reuters. 6 July 2022. Archived from the original on 14 March 2023. Retrieved 14 March 2023.
  34. "US-China chip war: ASML says China employee stole data". BBC News. 16 February 2023. Archived from the original on 16 February 2023. Retrieved 16 February 2023.
  35. Koc, Cagan (23 October 2023). "Ex-ASML Staff Accused of Theft Went to Work for Huawei, NRC Says". Bloomberg News. Retrieved 23 October 2023.
  36. "US-China chip war: Netherlands moves to restrict some exports". BBC News. 9 March 2023. Archived from the original on 9 March 2023. Retrieved 9 March 2023.
  37. Corder, Mike (30 June 2023). "Dutch semiconductor machine export restrictions to come into force in September". AP News. Archived from the original on 30 June 2023. Retrieved 30 June 2023.
  38. mkivit (20 June 2023). "ASML maakt onderscheid op grond van nationaliteit maar dit is niet verboden". RADAR (in Dutch). Retrieved 29 January 2024.
  39. Sterling, Toby (26 June 2023). "Dutch human rights body: ASML may follow US export rules when hiring". Reuters. Retrieved 21 March 2024.
  40. Veiligheid, Ministerie van Justitie en (20 June 2023). "College oordeelt: aannamebeleid ASML levert geen verboden onderscheid op - Nieuwsbericht - College voor de Rechten van de Mens". www.mensenrechten.nl (in Dutch). Retrieved 29 January 2024.
  41. Madhok, Diksha (2 January 2024). "ASML forced to suspend some China exports after US escalates tech battle | CNN Business". CNN. Retrieved 2 January 2024.
  42. "ASML Dividende | KGV | Bilanz | Umsatz | Gewinn". boerse.de (in German). Archived from the original on 26 March 2022. Retrieved 26 March 2022.
  43. "Retrieved 6 November 2022". Archived from the original on 10 October 2022. Retrieved 6 November 2022.
  44. "Retrieved 6 November 2022". Archived from the original on 10 October 2022. Retrieved 6 November 2022.
  45. "IEEE Spectrum Emerging Technology Award ASML 2018 IEEE honors ceremony". ieeetv.ieee.org. 30 May 2018. Archived from the original on 13 November 2022. Retrieved 6 November 2022.
  46. "European Inventor Award 2018". zeiss.com. Archived from the original on 13 November 2022. Retrieved 6 November 2022.
  47. "ASML Man wins top award". eindhovennews.com. 15 May 2019. Archived from the original on 13 November 2022. Retrieved 3 November 2022.
  48. "ASML, BESI, Basic Fit winners Dutch IR Awards 2019". cffcommunications.nl. 11 January 2019. Archived from the original on 13 November 2022. Retrieved 3 November 2022.
  49. "ASML wins semi-Americas Award for EUV". asml.com. Archived from the original on 30 October 2022. Retrieved 30 October 2022.
  50. "ASML earns Intel's 2020 Preferred Quality Supplier PQS Award". marketscreener.com. 30 March 2021. Archived from the original on 30 October 2022. Retrieved 30 October 2022.
  51. "ASML Wins Dutch Innovation Prize". siliconcanals.com. 27 October 2021. Archived from the original on 30 October 2022. Retrieved 30 October 2022.
  52. "ASML and Incooling Win CoSta Award". incooling.com. Archived from the original on 13 November 2022. Retrieved 12 November 2022.
  • Official website Edit this at Wikidata
  • "The Silicon Age: Trends in Semiconductor Devices Industry", 2022
  • Business data for ASML Holding N.V.:

Media related to ASML at Wikimedia Commons


Share this article:

This article uses material from the Wikipedia article ASML_Holding, and is written by contributors. Text is available under a CC BY-SA 4.0 International License; additional terms may apply. Images, videos and audio are available under their respective licenses.