Epyc_Milan

Epyc

Epyc

AMD brand for server microprocessors


Epyc (stylized as EPYC) is a brand of multi-core x86-64 microprocessors designed and sold by AMD, based on the company's Zen microarchitecture. Introduced in June 2017, they are specifically targeted for the server and embedded system markets.[1]

Quick Facts General information, Launched ...

Epyc processors share the same microarchitecture as their regular desktop-grade counterparts, but have enterprise-grade features such as higher core counts, more PCI Express lanes, support for larger amounts of RAM, and larger cache memory. They also support multi-chip and dual-socket system configurations by using the Infinity Fabric interconnect.

History

In March 2017, AMD announced plans to re-enter the server market with a platform based on the Zen microarchitecture, codenamed Naples, and officially revealed it under the brand name Epyc in May.[2] That June AMD officially launched Epyc 7001 series processors, offering up to 32 cores per socket, and enabling performance that allowed Epyc to be competitive with the competing Intel Xeon Scalable product line.[3] Two years later, in August 2019, the Epyc 7002 "Rome" series processors, based on the Zen 2 microarchitecture, launched, doubling the core count per socket to 64, and increasing per-core performance dramatically over the last generation architecture.

In March 2021, AMD launched the Epyc 7003 "Milan" series, based on the Zen 3 microarchitecture.[4] Epyc Milan brought the same 64 cores as Epyc Rome, but with much higher per-core performance, with the Epyc 7763 beating the Epyc 7702 by up to 22 percent despite having the same number of cores and threads.[5] A refresh of the Epyc 7003 "Milan" series with 3D V-Cache, named Milan-X, launched on March 21, 2022, using the same cores as Epyc Milan, but with an additional 512 MB of cache stacked onto the compute dies, bringing the total amount of cache per CPU to 768 MB.[6]

In September 2021, Oak Ridge National Laboratory partnered with AMD and HPE Cray to build Frontier, a supercomputer with 9,472 Epyc 7453 CPUs and 37,888 Instinct MI250X GPUs, becoming operational by May 2022. As of November 2023, it is the most powerful supercomputer in the world according to the TOP500, with a peak performance of over 1.6 exaFLOPS.

In November 2021, AMD detailed the upcoming generations of Epyc, also unveiling the new LGA-6096 SP5 socket that would support the new generations of Epyc chips. Codenamed Genoa, the first Zen 4 based Epyc CPUs is built on TSMC's N5 node and supports up to 96 cores and 192 threads per socket, alongside 12 channels of DDR5,[7] 128 PCIe 5.0 lanes, and Compute Express Link 1.1.[8] AMD also shared information regarding the sister chip of Genoa, codenamed Bergamo. Bergamo is be based on a modified Zen 4 microarchitecture named Zen 4c, designed to allow for much higher core counts and efficiency at the cost of lower single-core performance, targeting cloud providers and workloads, compared to traditional high performance computing workloads.[9] It is compatible with Socket SP5, and supports up to 128 cores and 256 threads per socket.[10]

In November 2022, AMD launched their 4th generation Epyc lineup, codenamed Genoa. Some tech reviewers and customers had already received hardware for testing and benchmarking, and third party benchmarks of Genoa parts were immediately available. The flagship part, the 96 core Epyc 9654, set records for multi-core performance, and showed up to 4× performance compared to Intel's flagship part, the Xeon 8380. High memory bandwidth and extensive PCIe connectivity removed many bottlenecks, allowing all 96 cores to be utilized in workloads where previous generation Milan chips would have been I/O-bound. Genoa was also the first x86 server CPU to support CXL, allowing for further expansion of memory and other devices with a high bandwidth interface built on PCIe 5.0.

In June 2023, AMD began shipping the 3D-Vcache enabled Genoa-X lineup, a refresh of Genoa that uses the same 3D die stacking technology as Milan-X to enable up to 1152 MB of L3 cache, a 50% increase over Milan-X, which had a maximum of 768 MB of L3 cache.[11] On the same day, AMD also announced the release of their cloud optimized Zen 4c SKUs, codenamed Bergamo, offering up to 128 cores per socket, utilizing a modified version of the Zen 4 core that was optimized for power efficiency and to reduce die space. Zen 4c cores do not have any instructions removed compared to standard Zen 4 cores, instead, the amount of cache per core is reduced from 4 MB to 2 MB, and the frequency of the cores is reduced.[12] Bergamo is socket compatible with Genoa, using the same SP5 socket and supporting the same CXL, PCIe, and DDR5 capacity as Genoa.[13]

In September 2023, AMD launched their low power and embedded 8004 series of CPUs, codenamed Siena. Siena utilizes a new socket, called SP6, which has a smaller footprint and pin count than the SP5 socket of its contemporary Genoa processors. Siena utilizes the same Zen 4c core architecture as Bergamo cloud native processors, allowing up to 64 cores per processor, and the same 6 nm I/O die as Bergamo and Genoa, although certain features have been cut down, such as reducing the memory support from 12 channels of DDR5 to only 6, and removing dual socket support.[14]

AMD Epyc CPU codenames follow the naming scheme of Italian cities, including Milan, Rome, Naples, Genoa, Bergamo, Siena, and Turin.

More information Gen, Year ...

Design

A delidded second gen Epyc 7702, showing the die configuration

Epyc CPUs use a multi-chip module design to enable higher yields for a CPU than traditional monolithic dies. First generation Epyc CPUs are composed of four 14 nm compute dies, each with up to 8 cores.[20][21] Cores are symmetrically disabled on dies to create lower binned products with fewer cores but the same I/O and memory footprint. Second and Third gen Epyc CPUs are composed of eight compute dies built on a 7 nm process node, and a large input/output (I/O) die built on a 14 nm process node.[22] Third gen Milan-X CPUs use advanced through-silicon-vias to stack an additional die on top of each of the 8 compute dies, adding 64 MB of L3 cache per die.[23]

Epyc CPUs supports both single socket and dual socket operation. In a dual socket configuration, 64 PCIe lanes from each CPU are allocated to AMD's proprietary Infinity Fabric interconnect to allow for full bandwidth between both CPUs.[24] Thus, a dual socket configuration has the same number of usable PCIe lanes as a single socket configuration. First generation Epyc CPUs had 128 PCIe 3.0 lanes, while second and third generation had 128 PCIe 4.0 lanes. All current Epyc CPUs are equipped with up to eight channels of DDR4 at varying speeds, though next gen Genoa CPUs are confirmed by AMD to support up to twelve channels of DDR5.[7][25]

Unlike Opteron, Intel equivalents and AMD's desktop processors (excluding Socket AM1), Epyc processors are chipset-free - also known as system on a chip. That means most features required to make servers fully functional (such as memory, PCI Express, SATA controllers, etc.) are fully integrated into the processor, eliminating the need for a chipset to be placed on the mainboard. Some features may require the use of additional controller chips to utilize.

A near-infrared photograph of a delidded second gen Epyc 7702. Each CCD has two CCXs

Reception

Initial reception to Epyc was generally positive.[25] Epyc was generally found to outperform Intel CPUs in cases where the cores could work independently, such as in high-performance computing and big-data applications. First generation Epyc fell behind in database tasks compared to Intel's Xeon parts due to higher cache latency.[25] In 2021 Meta Platforms selected Epyc chips for its metaverse data centers.[26]

Epyc Genoa was well received, as it offered improved performance and efficiency compared to previous offerings, though received some criticism for not having 2 DIMMs per channel configurations validating, with some reviewers calling it an "incomplete platform".[27]

List of Epyc processors

Server

First generation Epyc (Naples)

The following table lists the devices using the first generation design.

A "P" suffix denotes support for only a single socket configuration. Non-P models use 64 PCIe lanes from each processor for the communication between processors.

Common features of EPYC 7001 series CPUs:

  • Socket: SP3.
  • All the CPUs support ECC DDR4-2666 in octa-channel mode (7251 supports only DDR4-2400).
  • L1 cache: 96 KB (32 KB data + 64 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 128 PCIe 3.0 lanes.
  • Fabrication process: GlobalFoundries 14LP.
More information Model, Cores (threads) ...
  1. Models with "P" suffixes are uniprocessors, only available as single socket configuration.
  2. Core Complexes (CCX) × cores per CCX
  3. Epyc embedded 7001 series models have identical specifications as Epyc 7001 series.
A Epyc 7001 generation die configuration
A second generation Epyc CPU in an SP3 socket

Second generation Epyc (Rome)

First generation Epyc processor

In November 2018, AMD announced Epyc 2 at their Next Horizon event, the second generation of Epyc processors codenamed "Rome" and based on the Zen 2 microarchitecture.[37] The processors feature up to eight 7 nm-based "chiplet" processors with a 14 nm-based IO chip providing 128 PCIe 4.0 lanes in the center interconnected via Infinity Fabric. The processors support up to 8 channels of DDR4 RAM up to 4 TB, and introduce support for PCIe 4.0. These processors have up to 64 cores with 128 SMT threads per socket.[38] The 7 nm "Rome" is manufactured by TSMC.[22] It was released on August 7, 2019.[39] It has 39.5 billion transistors.[40]

In April 2020, AMD launched three new SKUs using Epyc’s 7nm Rome platform. The three processors introduced were the eight-core Epyc 7F32, the 16-core 7F52 and the 24-core 7F72, featuring base clocks up to 3.7 GHz (up to 3.9 GHz with boost) within a TDP range of 180 to 240 watts. The launch was supported by Dell EMC, Hewlett Packard Enterprise, Lenovo, Supermicro, and Nutanix.[41]

Common features of these CPUs:

  • Codenamed "Rome"
  • Zen 2 microarchitecture
  • TSMC 7 nm process
  • SP3 Socket
  • 128 PCIe lanes
  • Memory support: eight-channel DDR4-3200
More information Model, Release date ...
  1. Core Complexes (CCX) × cores per CCX
The bottom side of an Epyc CPU mounted in a plastic carrier

Third generation Epyc (Milan)

At the HPC-AI Advisory Council in the United Kingdom in October 2019, AMD stated specifications for Milan, Epyc chips based on the Zen 3 microarchitecture.[43] Milan chips will use Socket SP3, with up to 64 cores on package, and support eight-channel DDR4 RAM and 128 PCIe 4.0 lanes.[43] It also announced plans for the subsequent generation of chips, codenamed Genoa, that will be based on the Zen 4 microarchitecture and use Socket SP5.[43]

Milan CPUs were launched by AMD on March 15, 2021.[44]

Milan-X CPUs were launched March 21, 2022.[6] They use 3D V-Cache technology to increase the maximum L3 cache per socket capacity from 256 MB to 768 MB.[45][46][47]

More information Model, Price (USD) ...
  1. Core Complexes (CCX) × cores per CCX

Fourth generation Epyc (Genoa, Bergamo and Siena)

On November 10, 2022, AMD launched the fourth generation of Epyc server and data center processors based on the Zen 4 microarchitecture, codenamed Genoa.[48] At their launch event, AMD announced that Microsoft and Google would be some of Genoa's customers.[49] Genoa features between 16 and 96 cores with support for PCIe 5.0 and DDR5. There was also an emphasis by AMD on Genoa's energy efficiency, which according to AMD CEO Lisa Su, means "lower total cost of ownership" for enterprise and cloud datacenter clients.[50] Genoa uses AMD's new SP5 (LGA 6096) socket.[51]

On June 13, 2023, AMD introduced Genoa-X with 3D V-Cache technology for technical computing performance and Bergamo (9734, 9754 and 9754S) for cloud native computing.[52]

On September 18, 2023, AMD introduced the low power Siena lineup of processors, based on the Zen 4c microarchitecture. Siena supports up to 64 cores on the new SP6 socket, which is currently only used by Siena processors. Siena uses the same I/O die as Bergamo, however certain features, such as dual socket support, are removed, and other features are reduced, such as the change from 12 channel memory support to 6 channel memory support.[53]

More information Model, Fab ...
  1. Core Complexes (CCX) × cores per CCX

Embedded

First generation Epyc (Snowy Owl)

In February 2018, AMD also announced the Epyc 3000 series of embedded Zen CPUs.[54]

Common features of EPYC Embedded 3000 series CPUs:

  • Socket: SP4 (31xx and 32xx models use SP4r2 package).
  • All the CPUs support ECC DDR4-2666 in dual-channel mode (3201 supports only DDR4-2133), while 33xx and 34xx models support quad-channel mode.
  • L1 cache: 96 KB (32 KB data + 64 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 32 PCIe 3.0 lanes per CCD (max 64 lanes).
  • Fabrication process: GlobalFoundries 14 nm.
More information Model, Cores (threads) ...
  1. Core Complexes (CCX) × cores per CCX

Chinese variants

A variant created for the Chinese server market by Hygon Information Technology is the Hygon Dhyana system on a chip.[57][58] It is noted to be a variant of the AMD Epyc, and is so similar that "there is little to no differentiation between the chips".[57] It has been noted that there is "less than 200 lines of new kernel code" for Linux kernel support, and that the Dhyana is "mostly a re-branded Zen CPU for the Chinese server market".[58] Later Benchmarks showed that certain floating point instructions are performing worse, probably to comply with US export restrictions.[59] AES and other western cryptography algorithms are replaced by Chinese variants throughout the design.[59]


References

  1. Cutress, Ian (May 30, 2017). "Computex 2017: AMD Press Event Live Blog". AnandTech. Retrieved November 7, 2022.
  2. Kampman, Jeff (May 16, 2017). "AMD's Naples datacenter CPUs will make an Epyc splash". Tech Report. Retrieved May 16, 2017.
  3. Cutress, Ian (June 20, 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". AnandTech. Retrieved July 12, 2017.
  4. Alcorn, Paul (March 15, 2021). "Watch AMD's Epyc 7003 Milan Launch Here". Tom's Hardware. Retrieved April 5, 2022.
  5. "AMD EPYC 7003 "Milan-X" launches March 21st, specs and pricing leaked". VideoCardz. March 20, 2022. Retrieved March 25, 2022.
  6. Cutress, Ian (November 8, 2021). "AMD Gives Details on EPYC Zen4: Genoa and Bergamo, up to 96 and 128 Cores". AnandTech. Retrieved April 5, 2022.
  7. servethehome (November 8, 2021). "AMD Bergamo to hit 128 Cores and Genoa at 96 Cores". ServeTheHome. Retrieved April 5, 2022.
  8. Mujtaba, Hassan (January 10, 2022). "Another AMD EPYC Genoa 'Zen 4' CPU Leaks Out, This Time A 16 Core Chip With 2 Active CCDs". Wccftech. Retrieved April 5, 2022.
  9. Smith, Ryan. "AMD: EPYC "Genoa-X" CPUs With 1.1GB of L3 Cache Now Available". www.anandtech.com. Retrieved September 26, 2023.
  10. Kennedy, Patrick (July 19, 2023). "AMD EPYC Bergamo is a Fantastically Fresh Take on Cloud Native Compute". ServeTheHome. Retrieved September 27, 2023.
  11. Kennedy, Patrick (July 19, 2023). "AMD EPYC Bergamo is a Fantastically Fresh Take on Cloud Native Compute". ServeTheHome. Retrieved September 26, 2023.
  12. Kennedy, Patrick (September 18, 2023). "AMD EPYC 8004 Siena Launched for Lower Power EPYC Edge". ServeTheHome. Retrieved September 26, 2023.
  13. Cutress, Ian (May 27, 2019). "AMD Confirms Zen 4 EPYC Codename, and Elaborates on Frontier Supercomputer CPU". AnandTech. Retrieved November 7, 2022.
  14. Bonshor, Gavin (June 9, 2022). "AMD Updated EPYC Roadmap: 5th Gen EPYC "Turin" Announced, Coming by End of 2024". AnandTech. Retrieved November 7, 2022.
  15. btarunr (June 10, 2022). "AMD Announces the "Zen 5" Microarchitecture and EPYC "Turin" Processor on 4nm". TechPowerUp. Retrieved November 15, 2022.
  16. Shilov, Anthon (March 14, 2023). "AMD Rolls Out EPYC Embedded 9004 CPUs: Up to 96 Cores". Tom's Hardware. Retrieved June 15, 2023.
  17. Shilov, Anthon (September 18, 2023). "AMD Launches EPYC 8004-Series 'Siena' CPUs: Up to 64 Zen 4c Cores". Tom's Hardware. Retrieved September 18, 2023.
  18. Cutress, Ian (March 7, 2017). "AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2". AnandTech. Retrieved March 7, 2017.
  19. Morris, John (March 13, 2018). "Inside GlobalFoundries' long road to the leading edge". ZDNet. Retrieved July 17, 2019.
  20. Smith, Ryan (July 26, 2018). "AMD "Rome" EPYC CPUs to Be Fabbed By TSMC". AnandTech. Retrieved June 18, 2019.
  21. Trader, Tiffany (March 21, 2022). "AMD Milan-X CPU with 3D V-Cache Available in Four SKUs, Up to 64-Cores". HPC Wire. Retrieved May 6, 2022.
  22. Johnson, Renee (March 7, 2017). "AMD's Naples platform prepares to take Zen into the datacenter". Tech Report. Retrieved March 7, 2017.
  23. De Gelas, Johan; Cutress, Ian (July 11, 2017). "Sizing Up the Servers: Intel's Skylake-SP Xeon vs AMD's EPYC 7000". AnandTech. Retrieved July 11, 2017.
  24. Sozzi, Brian (November 8, 2021). "Chipmaker AMD just scored a big deal with Meta". Yahoo! Finance. Retrieved November 10, 2022.
  25. Kennedy, Patrick (November 22, 2022). "Gigabyte has a 48 DIMM 2P AMD EPYC Genoa GPU Server at SC22". ServeTheHome. Retrieved November 26, 2022.
  26. "AMD EPYC 7000 Series Processors" (PDF). AMD. January 2019. Retrieved March 25, 2023.
  27. Cutress, Ian (June 20, 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". AnandTech. Retrieved June 21, 2017.
  28. Kennedy, Patrick (May 16, 2017). "AMD EPYC New Details on the Emerging Server Platform". ServeTheHome. Retrieved May 16, 2017.
  29. "AMD EPYC 7261 - PS7261BEV8RAF". CPU-World. March 26, 2023.
  30. Kennedy, Patrick (October 31, 2018). "AMD EPYC 7261 8 Core CPU Quietly Launched L3 Cache Monster". ServeTheHome. Retrieved March 28, 2023.
  31. "AMD EPYC 7371 - PS7371BDVGPAF". CPU-World. March 26, 2023.
  32. "New AMD-Powered Supercomputers Unleash Discovery and Accelerate Innovation" (Press release). AMD. November 13, 2018. Retrieved March 28, 2023.
  33. "AMD EPYC 7571 - PS7571BDVIHAF". CPU-World. March 25, 2023.
  34. Larabel, Michael (November 7, 2018). "A Look At The AMD EPYC Performance On The Amazon EC2 Cloud". Phoronix. Retrieved March 28, 2023.
  35. "AMD Takes High-Performance Datacenter Computing to the Next Horizon". AMD (Press release). San Francisco, CA. November 6, 2018. Retrieved December 6, 2018.
  36. Ung, Gordon (November 7, 2018). "What AMD's 64-core 'Rome' server CPU tells us about Ryzen 2". PCWorld. Retrieved November 11, 2018.
  37. S. Smith, Matthew (2022). "Single-Chip Processors Have Reached Their Limits". IEEE Spectrum. 59 (7): 11.
  38. Trader, Tiffany (April 14, 2020). "AMD Launches Three New High-Frequency Epyc SKUs Aimed at Commercial HPC". www.hpcwire.com.
  39. Alcorn, Paul (October 5, 2019). "AMD dishes on Zen 3 and Zen 4 architecture, Milan and Genoa roadmap". Tom's Hardware. Retrieved October 5, 2019.
  40. Trader, Tiffany (March 15, 2021). "AMD Launches Epyc 'Milan' with 19 SKUs for HPC, Enterprise and Hyperscale". HPC Wire. Retrieved November 10, 2022.
  41. Sandhu, Tarinder (March 21, 2022). "A deeper look into AMD Epyc 7003 Milan-X featuring 3D V-Cache". Club386. Retrieved November 10, 2022.
  42. Bonshor, Gavin (March 21, 2022). "AMD Releases Milan-X CPUs With 3D V-Cache: EPYC 7003 Up to 64 Cores and 768 MB L3 Cache". AnandTech. Retrieved November 10, 2022.
  43. "AMDs Exascale-Hammer: Epyc 3 mit 804 MByte Cache, Instinct MI200 mit 47,9 TFlops". c't Magazin (in German). November 8, 2021. Retrieved November 10, 2022.
  44. Lee, Jane Lanhee; Mehta, Cahvi (November 10, 2022). "AMD launches data center CPU 'Genoa', taps Google, Microsoft as customers". Reuters. Retrieved November 11, 2022.
  45. Burt, Jeffrey (November 10, 2022). "The Acute Role Reversal for AMD and Intel in Datacenter Compute". The Next Platform. Retrieved November 11, 2022.
  46. Alcorn, Paul (November 10, 2022). "AMD 4th-Gen EPYC Genoa 9654, 9554, and 9374F Review: 96 Cores, Zen 4 and 5nm Disrupt the Data Center". Tom's Hardware. Retrieved November 11, 2022.
  47. Alcorn, Paul (February 21, 2018). "AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors". Tom's Hardware. Retrieved April 5, 2018.
  48. "Product Brief: AMD EPYC Embedded 3000 Family" (PDF). AMD. 2018. Retrieved March 26, 2023.
  49. Alcorn, Paul (July 6, 2018). "China Finds Zen: Begins Production Of x86 Processors Based On AMD's IP". Tom's Hardware. Retrieved July 9, 2018.
  50. Larabel, Michael (June 9, 2018). "Hygon Dhyana: Chinese x86 Server CPUs Based On AMD Zen". Phoronix. Retrieved July 9, 2018.
  51. Cutress, Ian; Wilson, Wendell (February 27, 2020). "Testing a Chinese x86 CPU: A Deep Dive into Zen-based Hygon Dhyana Processors". AnandTech. Retrieved September 29, 2020.

Share this article:

This article uses material from the Wikipedia article Epyc_Milan, and is written by contributors. Text is available under a CC BY-SA 4.0 International License; additional terms may apply. Images, videos and audio are available under their respective licenses.