Pentium_MMX

Pentium (original)

Pentium (original)

Intel microprocessor


The Pentium (also referred to as the i586) is a x86 microprocessor introduced by Intel on March 22, 1993. It is the first CPU using the Pentium brand.[2][3] Considered the fifth generation in the 8086 compatible line of processors,[4] its implementation and microarchitecture was internally called P5.

Quick Facts General information, Launched ...

Like the Intel i486, the Pentium is instruction set compatible with the 32-bit 80386. It uses a very similar microarchitecture to the i486, but was extended enough to implement a dual integer pipeline design, as well as a more advanced floating point unit. The former is something that had been argued being impossible to implement for a CISC instruction set, by certain academics and RISC competitors. [who?]

The P5 Pentium is the first superscalar x86 processor, meaning it was often able to execute two instructions at the same time. Some techniques used to implement this were based on the earlier superscalar Intel i960 CA (1989), while other details were invented exclusively for the P5 design. Large parts were also copied from the i386 or i486, especially the strategies used to cope with the complicated x86 encodings in a pipelined fashion.[5] Just like the i486, the Pentium used both an optimized microcode system and RISC-like techniques, depending on the particular instruction, or part of instruction.

Other central features include a redesigned and significantly faster floating-point unit, a wide 64-bit data bus (external as well as internal), separate code and data caches, and many other techniques and features to enhance performance.

The P5 also has better support for multiprocessing compared to the i486, and is the first x86 CPU with hardware support for it similar to IBM mainframe computers. Intel worked with IBM to define this ability and also designed it into the P5 microarchitecture. This ability was absent in prior x86 generations and x86 processors from competitors.

In order to employ the dual pipelines at their full potential, certain compilers were optimized to better exploit instruction level parallelism, although not all applications would substatially gain from being recompiled. The faster FPU always enhanced floating point performance significantly though, compared to the i486 or i387. Intel spent resources working with development tool vendors, ISVs and operating system (OS) companies to optimize their products.

In October 1996, the similar Pentium MMX[6] was introduced, complementing the same basic microarchitecture with the MMX instruction set, larger caches, and some other enhancements.

Intel Pentium A80501 66 MHz SX950 die image

Competitors included the superscalar PowerPC 601 (1993), SuperSPARC (1992), DEC Alpha 21064 (1992), AMD 29050 (1990), Motorola MC88110 (1991) and Motorola 68060 (1994), most of which also used a superscalar in-order dual instruction pipeline configuration, and the non-superscalar Motorola 68040 (1990) and MIPS R4000 (1991).

Intel discontinued the P5 Pentium processors (sold as a cheaper product since the release of the Pentium II in 1997) in early 2000 in favor of the Celeron processor, which had also replaced the 80486 brand.[1]

Development

The P5 microarchitecture was designed by the same Santa Clara team which designed the 386 and 486.[7] Design work started in 1989;[8]:88 the team decided to use a superscalar architecture, with on-chip cache, floating-point, and branch prediction. The preliminary design was first successfully simulated in 1990, followed by the laying-out of the design. By this time, the team had several dozen engineers. The design was taped out, or transferred to silicon, in April 1992, at which point beta-testing began.[9] By mid-1992, the P5 team had 200 engineers.[8]:89 Intel at first planned to demonstrate the P5 in June 1992 at the trade show PC Expo, and to formally announce the processor in September 1992,[10] but design problems forced the demo to be cancelled, and the official introduction of the chip was delayed until the spring of 1993.[11][12]

John H. Crawford, chief architect of the original 386, co-managed the design of the P5,[13] along with Donald Alpert, who managed the architectural team. Dror Avnon managed the design of the FPU.[14] Vinod K. Dham was general manager of the P5 group.[8]:90

Intel's Larrabee multicore architecture project uses a processor core derived from a P5 core (P54C), augmented by multithreading, 64-bit instructions, and a 16-wide vector processing unit.[15] Intel's low-powered Bonnell microarchitecture employed in early Atom processor cores also uses an in-order dual pipeline similar to P5.[16]

Intel used the Pentium name instead of 586, because in 1991, it had lost a trademark dispute over the "386" trademark, when a judge ruled that the number was generic. The company hired Lexicon Branding to come up with a new, non-numeric name.[17]

Improvements over the i486

The P5 microarchitecture brings several important advances over the prior i486 architecture.

  • Performance:
    • Superscalar architecture – The Pentium has two datapaths (pipelines) that allow it to complete two instructions per clock cycle in many cases. The main pipe (U) can handle any instruction, while the other (V) can handle the most common simple instructions. Some[who?] reduced instruction set computer (RISC) proponents had argued that the "complicated" x86 instruction set would probably never be implemented by a tightly pipelined microarchitecture, much less by a dual-pipeline design. The 486 and the Pentium demonstrated that this was indeed possible and feasible.
    • 64-bit external databus doubles the amount of information possible to read or write on each memory access and therefore allows the Pentium to load its code cache faster than the 80486; it also allows faster access and storage of 64-bit and 80-bit x87 FPU data.
    • Separation of code and data caches lessens the fetch and operand read/write conflicts compared to the 486. To reduce access time and implementation cost, both of them are 2-way associative, instead of the single 4-way cache of the 486. A related enhancement in the Pentium is the ability to read a contiguous block from the code cache even when it is split between two cache lines (at least 17 bytes in worst case).
    • Much faster floating-point unit. Some instructions showed an enormous improvement, most notably FMUL, with up to 15 times higher throughput than in the 80486 FPU. The Pentium is also able to execute a FXCH ST(x) instruction in parallel with an ordinary (arithmetical or load/store) FPU instruction.
    • Four-input address adders enables the Pentium to further reduce the address calculation latency compared to the 80486. The Pentium can calculate full addressing modes with segment-base + base-register + scaled register + immediate offset in a single cycle; the 486 has a three-input address adder only, and must therefore divide such calculations between two cycles.
    • The microcode can employ both pipelines to enable auto-repeating instructions such as REP MOVSW perform one iteration every clock cycle, while the 80486 needed three clocks per iteration (and the earliest x86 chips significantly more than the 486). Also, optimization of the access to the first microcode words during the decode stages helps in making several frequent instructions execute significantly more quickly, especially in their most common forms and in typical cases. Some examples are (486→Pentium, in clock cycles): CALL (3→1), RET (5→2), shifts/rotates (2–3→1).
    • A faster, fully hardware-based multiplier makes instructions such as MUL and IMUL several times faster (and more predictable) than in the 80486; the execution time is reduced from 13 to 42 clock cycles down to 10–11 for 32-bit operands.
    • Virtualized interrupt to speed up virtual 8086 mode.
    • Branch prediction
  • Other features:
    • Enhanced debug features with the introduction of the Processor-based debug port (see Pentium Processor Debugging in the Developers Manual, Vol 1).
    • Enhanced self-test features like the L1 cache parity check (see Cache Structure in the Developers Manual, Vol 1).
    • New instructions: CPUID, CMPXCHG8B, RDTSC, RDMSR, WRMSR, RSM.
    • Test registers TR0–TR7 and MOV instructions for access to them were eliminated.
  • The later Pentium MMX also added the MMX instruction set, a basic integer single instruction, multiple data (SIMD) instruction set extension marketed for use in multimedia applications. MMX could not be used simultaneously with the x87 FPU instructions because the registers were reused (to allow fast context switches). More important enhancements were the doubling of the instruction and data cache sizes and a few microarchitectural changes for better performance.

The Pentium was designed to execute over 100 million instructions per second (MIPS),[18] and the 75 MHz model was able to reach 126.5 MIPS in certain benchmarks.[19] The Pentium architecture typically offered just under twice the performance of a 486 processor per clock cycle in common benchmarks. The fastest 80486 parts (with slightly improved microarchitecture and 100 MHz operation) were almost as powerful as the first-generation Pentiums, and the AMD Am5x86, which despite its name is actually a 486-class CPU, was roughly equal to the Pentium 75 regarding pure ALU performance.

Errata

The early versions of 60–100 MHz P5 Pentiums had a problem in the floating-point unit that resulted in incorrect (but predictable) results from some division operations. This flaw, discovered in 1994 by professor Thomas Nicely at Lynchburg College, Virginia, became widely known as the Pentium FDIV bug and caused embarrassment for Intel, which created an exchange program to replace the faulty processors.

In 1997, another erratum was discovered that could allow a malicious program to crash a system without any special privileges, the "F00F bug". All P5 series processors were affected and no fixed steppings were ever released, however contemporary operating systems were patched with workarounds to prevent crashes.

Cores and steppings

The Pentium was Intel's primary microprocessor for personal computers during the mid-1990s. The original design was reimplemented in newer processes and new features were added to maintain its competitiveness, and to address specific markets such as portable computers. As a result, there were several variants of the P5 microarchitecture.

P5

Intel Pentium microarchitecture

The first Pentium microprocessor core was code-named "P5". Its product code was 80501 (80500 for the earliest steppings Q0399). There were two versions, specified to operate at 60 MHz and 66 MHz respectively, using Socket 4. This first implementation of the Pentium was released using a 273-pin PGA form factor and ran on a 5v power supply. (descended from the usual transistor-transistor logic (TTL) compatibility requirements). It contained 3.1 million transistors and measured 16.7 mm by 17.6 mm for an area of 293.92 mm2.[20] It was fabricated in a 800 nm bipolar complementary metal–oxide–semiconductor (BiCMOS) process.[21] The 5-volt design resulted in relatively high energy consumption for its operating frequency when compared to the directly following models.

P54C

Intel Pentium P54C die shot

The P5 was followed by the P54C (80502) in 1994, with versions specified to operate at 75, 90, or 100 MHz using a 3.3 volt power supply. Marking the switch to Socket 5, this was the first Pentium processor to operate at 3.3 volts, reducing energy consumption, but necessitating voltage regulation on mainboards. As with higher-clocked 486 processors, an internal clock multiplier was employed from here on to let the internal circuitry work at a higher frequency than the external address and data buses, as it is more complicated and cumbersome to increase the external frequency, due to physical constraints. It also allowed two-way multiprocessing, and had an integrated local APIC and new power management features. It contained 3.3 million transistors and measured 163 mm2.[22] It was fabricated in a BiCMOS process which has been described as both 500 nm and 600 nm due to differing definitions.[22]

P54CQS

The P54C was followed by the P54CQS in early 1995, which operated at 120 MHz. It was fabricated in a 350 nm BiCMOS process and was the first commercial microprocessor to be fabricated in a 350 nm process.[22] Its transistor count is identical to the P54C and, despite the newer process, it had an identical die area as well. The chip was connected to the package using wire bonding, which only allows connections along the edges of the chip. A smaller chip would have required a redesign of the package, as there is a limit on the length of the wires and the edges of the chip would be further away from the pads on the package. The solution was to keep the chip the same size, retain the existing pad-ring, and only reduce the size of the Pentium's logic circuitry to enable it to achieve higher clock frequencies.[22]

P54CS

The P54CQS was quickly followed by the P54CS, which operated at 133, 150, 166 and 200 MHz, and introduced Socket 7. It contained 3.3 million transistors, measured 90 mm2 and was fabricated in a 350 nm BiCMOS process with four levels of interconnect.

P24T

The P24T Pentium OverDrive for 486 systems were released in 1995, which were based on 3.3 V 600 nm versions using a 63 or 83 MHz clock. Since these used Socket 2/3, some modifications had to be made to compensate for the 32-bit data bus and slower on-board L2 cache of 486 motherboards. They were therefore equipped with a 32 KB L1 cache (double that of pre-P55C Pentium CPUs).

P55C

Intel Pentium MMX microarchitecture
Pentium MMX 166 MHz without cover

The P55C (or 80503) was developed by Intel's Research & Development Center in Haifa, Israel. It was sold as Pentium with MMX Technology (usually just called Pentium MMX); although it was based on the P5 core, it featured a new set of 57 "MMX" instructions intended to improve performance on multimedia tasks, such as encoding and decoding digital media data. The Pentium MMX line was introduced on October 22, 1996, and released in January 1997.[23]

The new instructions worked on new data types: 64-bit packed vectors of either eight 8-bit integers, four 16-bit integers, two 32-bit integers, or one 64-bit integer. So, for example, the PADDUSB (Packed ADD Unsigned Saturated Byte) instruction adds two vectors, each containing eight 8-bit unsigned integers together, elementwise; each addition that would overflow saturates, yielding 255, the maximal unsigned value that can be represented in a byte. These rather specialized instructions generally require special coding by the programmer for them to be used.[citation needed]

Other changes to the core include a 6-stage pipeline (vs. 5 on P5) with a return stack (first done on Cyrix 6x86) and better parallelism, an improved instruction decoder, 16KB L1 data cache + 16KB L1 instruction cache with Both 4-way associativity (vs. 8KB L1 Data/instruction with 2-way on P5), 4 write buffers that could now be used by either pipeline (vs. one corresponding to each pipeline on P5) and an improved branch predictor taken from the Pentium Pro,[24][25] with a 512-entry buffer (vs. 256 on P5).[26]

It contained 4.5 million transistors and had an area of 140 mm2. It was fabricated in a 280 nm CMOS process with the same metal pitches as the previous 350 nm BiCMOS process, so Intel described it as "350 nm" because of its similar transistor density.[27] The process has four levels of interconnect.[27]

While the P55C remained compatible with Socket 7, the voltage requirements for powering the chip differ from the standard Socket 7 specifications. Most motherboards manufactured for Socket 7 before the establishment of the P55C standard are not compliant with the dual voltage rail required for proper operation of this CPU (2.8 volt core voltage, 3.3 volt input/output (I/O) voltage). Intel addressed the issue with OverDrive upgrade kits that featured an interposer with its own voltage regulation.

Tillamook

Pentium MMX notebook CPUs used a mobile module that held the CPU. This module was a printed circuit board (PCB) with the CPU directly attached to it in a smaller form factor. The module snapped to the notebook motherboard, and typically a heat spreader was installed and made contact with the module. However, with the 250 nm Tillamook Mobile Pentium MMX (named after a city in Oregon), the module also held the 430TX chipset along with the system's 512 KB static random-access memory (SRAM) cache memory.

Models and variants

More information Code name, Product code ...
More information Code name, Product code ...
More information Code name, Product code ...

Competitors

After the introduction of the Pentium, competitors such as NexGen,[28] AMD, Cyrix, and Texas Instruments announced Pentium-compatible processors in 1994.[29] CIO magazine identified NexGen's Nx586 as the first Pentium-compatible CPU,[30] while PC Magazine described the Cyrix 6x86 as the first. These were followed by the AMD K5, which was delayed due to design difficulties. AMD later bought NexGen to help design the AMD K6, and Cyrix was bought by National Semiconductor.[31] Later processors from AMD and Intel retain compatibility with the original Pentium.

List

See also


References

  1. "Product Change Notification #777" (PDF). Intel. February 9, 1999. Archived from the original (PDF) on January 27, 2000. Retrieved October 14, 2019.
  2. Intel Pentium Processor Family, Intel, retrieved August 14, 2007
  3. I.e. 8086/88, 186/286, 386, 486, P5
  4. as compared to a simple RISC processor like the i960.
  5. officially known as Pentium with MMX Technology
  6. Colwell, Robert P. (2006). The Pentium Chronicles: The People, Passion, and Politics Behind Intel's Landmark Chips. Wiley. p. 1. ISBN 978-0-471-73617-2.
  7. "Inside Intel". Business Week. No. 3268. June 1, 1992.
  8. Horten, Monica (May 1, 1993). "The hot new star of microchips". New Scientist. No. 1871. pp. 31 ff. Archived from the original on July 27, 2011. Retrieved June 9, 2009.
  9. Quinlan, Tom (March 16, 1992). "Intel to offer a peek at its '586' chip". InfoWorld. p. 8.
  10. Quinlan, Tom; Corcoran, Cate (June 15, 1992). "Design woes force Intel to cancel 586 chip demo". InfoWorld. Vol. 14, no. 24. p. 1.
  11. Quinlan, Tom; Corcoran, Cate (July 27, 1992). "P5 chip delay won't alter rivals' plans". InfoWorld. Vol. 14, no. 30. pp. 1, 103.
  12. Margulius, David L. (July 21, 2003). "Intel Turns 35: Now What?". InfoWorld. Vol. 25, no. 28. pp. 51–55. ISSN 0199-6649.
  13. Alpert, D.; Avnon, D. (June 1993). "Architecture of the Pentium microprocessor]". IEEE Micro. Vol. 13, no. 3. p. 21. doi:10.1109/40.216745.
  14. §3 of Seiler, L.; Cavin, D.; Espasa, E.; Grochowski, T.; Juan, M.; Hanrahan, P.; Carmean, S.; Sprangle, A.; Forsyth, J.; Abrash, R.; Dubey, R.; Junkins, E.; Lake, T.; Sugerman, P. (August 2008). "Larrabee: A Many-Core x86 Architecture for Visual Computing" (PDF). ACM Transactions on Graphics. Proceedings of ACM SIGGRAPH 2008. 27 (3): 18:11. doi:10.1145/1360612.1360617. ISSN 0730-0301. S2CID 52799248. Retrieved August 18, 2023.
  15. Shimpi, Anand Lal (January 27, 2010), Why Pine Trail Isn't Much Faster Than the First Atom, retrieved August 4, 2010
  16. Smith, Ernie (June 14, 2017). "Why Intel Couldn't Trademark Numbers Anymore". Vice. Retrieved July 13, 2023.
  17. "PC users guide: General Computer Information". Archived from the original on July 28, 2007. Retrieved September 14, 2007.
  18. Case, Brian (March 29, 1993). "Intel Reveals Pentium Implementation Details". Microprocessor Report.
  19. Gwennap, Linley (March 27, 1995). "Pentium is First CPU to Reach 0.35 Micron". Microprocessor Report.
  20. New Chip Begs New Questions, CNet, retrieved February 6, 2009
  21. "Intel Architecture Optimization Manual" (PDF). 1997. pp. 2–16. Archived from the original (PDF) on July 5, 2017. Retrieved September 1, 2017.
  22. "Phil Storrs PC Hardware book". Archived from the original on January 20, 2020. Retrieved September 1, 2017.
  23. "Pentium Processor with MMX Technology" (PDF). 1997. Archived from the original (PDF) on July 5, 2010. Retrieved September 1, 2017.
  24. Slater, Michael (March 5, 1996). "Intel's Long-Awaited P55C Disclosed". Microprocessor Report.
  25. Corcoran, Cate; Crothers, Brooke (July 11, 1994). "NexGen to Beat Intel's Chip Prices". InfoWorld. IDG: 5.
  26. Barr, Christopher (January 11, 1994). "Pentium Killers". PC Magazine. 13 (1). Ziff Davis: 29.
  27. Edwards, John (June 15, 1995). "In the Chips". CIO magazine. 8 (17). IDG: 72–76.
  28. Slater, Michael (September 23, 1997). "The CPU for Your Next PC". PC Magazine. 16 (16). Ziff Davis: 130–133.

Intel datasheets

Intel manuals

These official manuals provide an overview of the Pentium processor and its features:

Preceded by Pentium (original)
1993–1999
Succeeded by

Share this article:

This article uses material from the Wikipedia article Pentium_MMX, and is written by contributors. Text is available under a CC BY-SA 4.0 International License; additional terms may apply. Images, videos and audio are available under their respective licenses.