RDNA3

RDNA 3

RDNA 3

GPU microarchitecture by AMD


RDNA 3 is a GPU microarchitecture designed by AMD, released with the Radeon RX 7000 series on December 13, 2022. Alongside powering the RX 7000 series, RDNA 3 is also featured in the SoCs designed by AMD for the Asus ROG Ally and Lenovo Legion Go consoles.

Quick Facts Launched, Designed by ...

Background

On June 9, 2022, AMD held their Financial Analyst Day where they presented a client GPU roadmap which contained mention of RDNA 3 coming in 2022 and RDNA 4 coming in 2024.[1] AMD announced to investors their intention to achieve a performance-per-watt uplift of over 50% with RDNA 3 and that the upcoming architecture would be built using chiplet packaging on a 5 nm process.[2]

A sneak preview for RDNA 3 was included towards the end of AMD's Ryzen 7000 unveiling event on August 29, 2022. The preview included RDNA 3 running gameplay of Lies of P, AMD CEO Lisa Su confirming that a chiplet design would be used, and a partial look at AMD's reference design for an RDNA 3 GPU.[3]

Full details for the RDNA 3 architecture were unveiled on November 3, 2022 at an event in Las Vegas.[4]

Architecture

Chiplet packaging

For the first time ever in a consumer GPU, RDNA 3 utilizes modular chiplets rather than a single large monolithic die. AMD previously had great success with its use of chiplets in its Ryzen desktop and Epyc server processors.[5] The decision to move to a chiplet-based GPU microarchitecture was led by AMD Senior Vice President Sam Naffziger who had also lead the chiplet initiative with Ryzen and Epyc.[6] The development of RDNA 3's chiplet architecture began towards the end of 2017 with Naffziger leading the AMD graphics team in the effort.[7] The benefit of using chiplets is that dies can be fabricated on different process nodes depending on their functions and intended purpose. According to Naffziger, cache and SRAM do not scale as linearly as logic does on advanced nodes like N5 in terms of density and power consumption so they can instead be fabricated on the cheaper, more mature N6 node. The use of smaller dies rather than one large monolithic die is beneficial for maximizing wafer yields as more dies can be fitted onto a single wafer.[7] Alternatively, a large monolithic RDNA 3 die built on N5 would be more expensive to produce with lower yields.

RDNA 3 uses two types of chiplets: the Graphics Compute Die (GCD) and Memory Cache Dies (MCDs). On Ryzen and Epyc processors, AMD used its PCIe-based Infinity Fabric protocol with the package's dies connected via traces on an organic substrate. This approach is easily scalable in a cost-effective manner but has the drawbacks of increased latency, increased power consumption when moving data between dies at around 1.5 picojoules per bit, and it cannot achieve the connection density needed for high-bandwidth GPUs.[8] An organic package could not host the number of wires that would be needed to connect multiple dies in a GPU.[9]

RDNA 3's dies are instead connected using TSMC's Integrated Fan-Out Re-Distribution Layer (InFO-RDL) packaging technique which provides a silicon bridge for high bandwidth and high density die-to-die communication.[10] InFO allows dies to be connected without the use of a more costly silicon interposer such as the one used in AMD's Instinct MI200 and MI300 datacenter accelerators. Each Infinity Fanout link has 9.2 Gbps in bandwidth. Naffziger explains that "The bandwidth density that we achieve is almost 10x" with the Infinity Fanout rather than the wires used by Ryzen and Epyc processors. The chiplet interconnects in RDNA achieve cumulative bandwidth of 5.3 TB/s.[10]

Memory Cache Dies (MCDs)

With a respective 2.05 billion transistors, each Memory Cache Die (MCD) contains 16 MB of L3 cache. Theoretically, additional L3 cache could be added to the MCDs via AMD's 3D V-Cache die stacking technology as the MCDs contain unused TSV connection points.[11][12] Also present on each MCD are two physical 32-bit GDDR6 memory interfaces for a combined 64-bit interface per MCD.[13] The Radeon RX 7900 XTX has a 384-bit memory bus through the use of six MCDs while the RX 7900 XT has a 320-bit bus due to its five MCDs.

Graphics Compute Die (GCD)

Compute Units

RDNA 3's Compute Units (CUs) for graphics processing are organized in dual CU Work Group Processors (WGPs). Rather than including a very large number of WGPs in RDNA 3 GPUs, AMD instead focussed on improving per-WGP throughput. This is done with improved dual-issue shader ALUs with the ability to execute two instructions per cycle. It can contain up to 96 graphics Compute Units that can provide up to 61 TFLOPS of compute.[14] Each RDNA 3 Compute Unit has dedicated AI acceleration with Wave MMA (matrix multiply-accumulate) instructions,[15] which can improve AI-based performance by 2.7x and also benefits ray tracing instructions, similar to Nvidia's Tensor cores.[14]

Ray tracing

RDNA 3 features second generation ray-tracing accelerators. Each Compute Unit contains one ray tracing accelerator. The overall number of ray tracing accelerators is increased due to the higher number of Compute Units, though the number of ray tracing accelerators per Compute Unit has not increased over RDNA 2.

Clock speeds

RDNA 3 was designed to support high clock speeds. On RDNA 3, clock speeds have been decoupled with the front end operating at a 2.5 GHz frequency while the shaders operate at 2.3 GHz. The shaders operating at a lower clock speed gives up to 25% power savings according to AMD and RDNA 3's shader clock speed is still 15% faster than RDNA 2.[16]

Cache and memory subsystem

RDNA 3 increased the capacity of L1 and L2 caches. The 16-way associative L1 cache shared across a shader array is doubled in RDNA 3 to 256 KB. The L2 cache increased from 4 MB on RDNA 2 to 6 MB on RDNA 3. The L3 Infinity Cache has been lowered in capacity from 128 MB to 96 MB and latency has increased as it is physically present on the MCDs rather than being closer to the WGPs within the GCD.[17] The Infinity Cache capacity was decreased due to RDNA 3 having wider a memory interface up to 384-bit whereas RDNA 2 used memory interfaces up to 256-bit. RDNA 3 having a wider 384-bit memory means that its cache hitrate does not have to be as high to still avoid bandwidth bottlenecks as there is higher memory bandwidth.[17] RDNA 3 GPUs use GDDR6 memory rather than faster GDDR6X due to the latter's increased power consumption.

Media engine

RDNA 3 is the first RDNA architecture to have a dedicated media engine. It is built into the GCD and is based on VCN 4.0 encoding and decoding core.[18] AMD's AMF AV1 encoder is comparable in quality to Nvidia's NVENC AV1 encoder but can handle a higher number of simultaneous encoding streams compared to the limit of 3 on the GeForce RTX 40 series.[19]

More information Resolution, H.264 ...

Display engine

RDNA 3 GPUs feature a new display engine called the "Radiance Display Engine". AMD touted its support for DisplayPort 2.1 UHBR 13.5, delivering up to 54Gbps bandwidth for high refresh rates at 4K and 8K resolutions.[21] The Radeon Pro W7900 and W7800 support the 80Gbps UHBR20 standard. DisplayPort 2.1 can support 4K at 480 Hz and 8K at 165 Hz with Display Stream Compression (DSC). The previous DisplayPort 1.4 standard with DSC was limited to 4K at 240 Hz and 8K at 60 Hz.

Power efficiency

AMD claims that RDNA 3 achieves a 54% increase in performance-per-watt which is in line with their previous claims of 50% performance-per-watt increases for both RDNA and RDNA 2.

More information Graphics Compute Die (GCD), Memory Cache Die (MCD) ...

Products

Desktop

More information Model (Code name), Release Date & Price ...
  1. Approximate die size of entire MCM package that consists of single GCD (Graphics Compute Die) and six MCDs (Memory Cache Die).
    The Radeon RX 7900 XT has only five active MCDs, while the inactive one is for structural support and heat dissipation. Die size of GCD is 306 mm2, size of each MCD is 37.5 mm2.[22]
  2. Boost values (if available) are stated below the base value in italic.
  3. Texture fillrate is calculated as the number of Texture Mapping Units multiplied by the base (or boost) core clock speed.
  4. Pixel fillrate is calculated as the number of Render Output Units multiplied by the base (or boost) core clock speed.
  5. Precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  6. GPUs based on RDNA 3 have dual-issue stream processors so that up to two shader instructions can be executed per clock cycle under certain parallelism conditions.

Mobile

More information Model (Code name), Release date ...
  1. Boost values (if available) are stated below the base value in italic.
  2. Texture fillrate is calculated as the number of Texture Mapping Units multiplied by the base (or boost) core clock speed.
  3. Pixel fillrate is calculated as the number of Render Output Units multiplied by the base (or boost) core clock speed.
  4. Precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Workstation

Desktop workstation

More information Model (Code name), Release Date & Price ...
  1. Approximate die size of entire MCM package that consists of single GCD (Graphics Compute Die) and six MCDs (Memory Cache Die).
    Radeon Pro W7800 has only four active MCDs, inactive one is for structural support and heat dissipation.
  2. Boost values (if available) are stated below the base value in italic.
  3. Texture fillrate is calculated as the number of Texture Mapping Units multiplied by the base (or boost) core clock speed.
  4. Pixel fillrate is calculated as the number of Render Output Units multiplied by the base (or boost) core clock speed.
  5. Precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  6. GPUs based on RDNA 3 have dual-issue stream processors so that up to two shader instructions can be executed per clock cycle under certain parallelism conditions.

Integrated graphics processing units (iGPUs)

More information Model, Launch ...
  1. Boost values (if available) are stated below the base value in italic.
  2. Texture fillrate is calculated as the number of Texture Mapping Units multiplied by the base (or boost) core clock speed.
  3. Pixel fillrate is calculated as the number of Render Output Units multiplied by the base (or boost) core clock speed.
  4. Precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  5. GPUs based on RDNA 3 have dual-issue stream processors so that up to two shader instructions can be executed per clock cycle under certain parallelism conditions.

References

  1. Smith, Ryan (June 9, 2022). "AMD's 2022-2024 Client GPU Roadmap: RDNA 3 This Year, RDNA 4 Lands in 2024". AnandTech. Retrieved April 8, 2023.
  2. Walton, Jarred (June 9, 2022). "AMD GPU Roadmap: RDNA 3 With 5nm GPU Chiplets Coming This Year". Tom's Hardware. Retrieved April 8, 2023.
  3. Wickens, Katie (August 31, 2022). "AMD's Lisa Su confirms chiplet-based RDNA 3 GPU architecture". PC Gamer. Retrieved April 8, 2023.
  4. James, Dave (June 24, 2022). "AMD suggests a Ryzen-like design for RDNA 3 chiplets would be 'a reasonable inference'". PC Gamer. Retrieved April 8, 2023.
  5. Alcorn, Paul; Walton, Jarred (June 23, 2022). "Into the GPU Chiplet Era: An Interview With AMD's Sam Naffziger". Tom's Hardware. Retrieved April 8, 2023.
  6. Brosdahl, Peter (November 22, 2022). "AMD Lead Engineer Sam Naffziger Explains Advantages of RDNA3 Chiplet Design". The FPS Review. Retrieved April 8, 2023.
  7. Walton, Jarred (June 5, 2023). "AMD RDNA 3 GPU Architecture Deep Dive: The Ryzen Moment for GPUs". Tom's Hardware. Retrieved April 29, 2024.
  8. Ridley, Jacob (November 14, 2022). "AMD's Infinity Links is the unsung hero of RDNA 3 and chiplet gaming GPUs". PC Gamer. Retrieved April 29, 2024.
  9. "AMD Explains the Economics Behind Chiplets for GPUs". TechPowerUp. November 14, 2022. Retrieved April 8, 2023.
  10. Klotz, Aaron (January 29, 2023). "AMD GPU Appears to Leave Room for Future 3D V-Cache". Tom's Hardware. Retrieved April 8, 2023.
  11. Ridley, Jacob (January 30, 2023). "Tiny spots on AMD's RDNA 3 GPU hint at massive cache potential". PC Gamer. Retrieved April 8, 2023.
  12. Walton, Jarred (November 14, 2022). "AMD RDNA 3 GPU Architecture Deep Dive: The Ryzen Moment for GPUs". Tom's Hardware. Retrieved April 8, 2023.
  13. Gula, Damien (November 3, 2022). "AMD's RDNA 3 GPUs are Way Cheaper Than the RTX 4090". Gizmodo. Retrieved April 8, 2023.
  14. Vasishta, Aaryaman (January 10, 2023). "How to accelerate AI applications on RDNA 3 using WMMA". GPUOpen. Archived from the original on January 10, 2023. Retrieved August 14, 2023.
  15. Olšan, Jan (November 7, 2022). "AMD RDNA 3 details: architecture changes, AI acceleration, DP 2.1". HWCooling. Retrieved April 8, 2023.
  16. "Microbenchmarking AMD's RDNA 3 Graphics Architecture". Chips and Cheese. January 7, 2023. Retrieved April 29, 2024.
  17. Shilov, Anton (May 4, 2022). "First Details About AMD's Next Generation Video Engine Revealed". Tom's Hardware. Retrieved April 10, 2023.
  18. Klotz, Aaron (December 12, 2022). "AMD's Radeon RX 7900 AV1 encoder is almost on par with Intel Arc and Nvidia's RTX 40 series". TechSpot. Retrieved April 8, 2023.
  19. Taylor, Adam (December 14, 2022). "Tested: With RDNA 3, AMD Radeon is finally useful for content creators". PCWorld. Retrieved April 8, 2023.
  20. Sag, Anshel (November 14, 2022). "AMD's New Radeon RX 7900XTX And 7900XT Put The Pressure On NVIDIA". Forbes. Retrieved April 8, 2023.
  21. "AMD Radeon RX 7600 Specs". TechPowerUp. Retrieved May 24, 2023.
  22. "AMD Radeon RX 7600 XT Specs". TechPowerUp. Retrieved January 8, 2024.
  23. "AMD Radeon RX 7700 XT Specs". TechPowerUp. Retrieved August 25, 2023.
  24. "AMD Radeon RX 7800 XT Specs". TechPowerUp. Retrieved August 25, 2023.
  25. Walton, Jarred (February 26, 2024). "AMD Radeon RX 7900 GRE review: the lowest binned Navi 31 variant is now globally available, starting at $549". Tom's Hardware. Retrieved March 1, 2024.
  26. "AMD Radeon RX 7900 XT Specs". TechPowerUp. Retrieved November 4, 2022.
  27. "AMD Radeon RX 7900 XTX Specs". TechPowerUp. Retrieved November 4, 2022.
  28. "AMD Radeon RX 7600S Specs". TechPowerUp. Retrieved January 16, 2023.
  29. "AMD Radeon RX 7600M Specs". TechPowerUp. Retrieved January 16, 2023.
  30. "AMD Radeon RX 7600M XT Specs". TechPowerUp. Retrieved April 20, 2023.
  31. "AMD Radeon RX 7700S Specs". TechPowerUp. Retrieved January 16, 2023.
  32. "AMD Radeon RX 7900M Specs". TechPowerUp. Retrieved November 15, 2023.
  33. "AMD Radeon PRO W7500 Professional Graphics". AMD. Retrieved August 4, 2023.
  34. "AMD Radeon PRO W7500 Specs". TechPowerUp. Retrieved August 4, 2023.
  35. "AMD Radeon PRO W7600 Professional Graphics". AMD. Retrieved August 4, 2023.
  36. "AMD Radeon PRO W7600 Specs". TechPowerUp. Retrieved August 4, 2023.
  37. "AMD Radeon PRO W7700 Professional Graphics". AMD. Retrieved November 13, 2023.
  38. "AMD Radeon PRO W7800 Professional Graphics". AMD. Retrieved April 13, 2023.
  39. "AMD Radeon PRO W7800 Specs". TechPowerUp. Retrieved April 13, 2023.
  40. "AMD Radeon PRO W7900 Professional Graphics". AMD. Retrieved April 13, 2023.
  41. "AMD Radeon PRO W7900 Specs". TechPowerUp. Retrieved April 13, 2023.

Share this article:

This article uses material from the Wikipedia article RDNA3, and is written by contributors. Text is available under a CC BY-SA 4.0 International License; additional terms may apply. Images, videos and audio are available under their respective licenses.